A method of semiconductor fabrication includes forming a dielectric layer over a substrate. A dummy gate structure is formed on the dielectric layer, which defines a dummy gate dielectric region. A portion of the dielectric layer not included in the dummy gate dielectric region is etched to form a dielectric etch back region. A spacer element is formed on a portion of the dielectric etch back region, which abuts the dummy gate structure, and defines a spacer dielectric region A height of the dummy gate dielectric region is greater than the height of the spacer dielectric region. A recessed portion is formed in the substrate, over which a strained material is selectively grown to form a strained recessed region adjacent the spacer dielectric region. The dummy gate structure and the dummy gate dielectric region are removed. A gate electrode layer and a gate dielectric layer are formed.
|
1. A semiconductor device, comprising:
a first structure comprising a first gate structure disposed over a substrate, a first spacer element abutting the first gate structure, a first strained layer over a recessed portion in the substrate adjacent the first gate structure; and
a second structure comprising a second gate structure disposed over the substrate, a second spacer element abutting the second gate structure and a second strained layer over a recessed portion in the substrate adjacent the second gate structure, wherein:
the first gate structure includes a first gate dielectric layer disposed over the substrate and underlying a first gate electrode,
the second gate structure includes a second gate dielectric layer disposed over the substrate and underlying a second gate electrode,
the first structure further comprises a first spacer dielectric layer disposed over the substrate and underlying the first spacer element,
the second structure further comprises a second spacer dielectric layer disposed over the substrate and underlying the second spacer element,
a side surface of the first spacer dielectric layer is in contact with a side surface of the first gate dielectric layer, and a material of the first spacer dielectric layer is different from that of the first gate dielectric layer,
a height of the second spacer dielectric layer is lower than a height of the second gate dielectric layer, and
a height of the first spacer dielectric layer is same as a height of the first gate dielectric layer.
9. A semiconductor device, comprising:
a first structure comprising a first gate structure disposed over a substrate, a first spacer element abutting the first gate structure, a first strained layer over a recessed portion in the substrate adjacent the first gate structure; and
a second structure comprising a second gate structure disposed over the substrate, a second spacer element abutting the second gate structure and a second strained layer over a recessed portion in the substrate adjacent the second gate structure, wherein:
the first gate structure includes multiple first gate dielectric layers disposed over the substrate and underlying a first gate electrode,
the second gate structure includes multiple second gate dielectric layers disposed over the substrate and underlying a second gate electrode,
the first structure further comprises a first spacer dielectric layer disposed over the substrate and underlying the first spacer element,
the second structure further comprises a second spacer dielectric layer disposed over the substrate and underlying the second spacer element,
a side surface of the first spacer dielectric layer is in contact with a side surface of the first gate dielectric layer, and a material of the first spacer dielectric layer is different from that of the first gate dielectric layer,
a height of the second spacer dielectric layer is lower than a height of a second gate dielectric layer, and
a height of the first spacer dielectric layer is same as a height of the first gate dielectric layer.
16. A semiconductor device, comprising:
a first structure comprising a first fin, a first gate structure disposed over the first fin, a first spacer element abutting the first gate structure, a first strained layer over a recessed portion in the first fin adjacent the first gate structure; and
a second structure comprising a second fin, a second gate structure disposed over the second fin, a second spacer element abutting the second gate structure and a second strained layer over a recessed portion in the second fin adjacent the second gate structure, wherein:
the first gate structure includes a first gate dielectric layer disposed over the first fin and underlying a first gate electrode,
the second gate structure includes a dielectric bi-layer disposed over the second fin and underlying a second gate electrode, wherein the dielectric bi-layer includes a lower gate dielectric layer and an upper gate dielectric layer disposed on the lower gate dielectric layer,
the first structure further comprises a first spacer dielectric layer disposed over the first fin and underlying the first spacer element,
the lower gate dielectric layer has a uniform thickness and extends under the second spacer element,
the upper gate dielectric layer is disposed on the lower gate dielectric layer and between the second gate electrode and the second spacer element,
a side surface of the first spacer dielectric layer is in contact with a side surface of the first gate dielectric layer, and a material of the first spacer dielectric layer is different from that of the first gate dielectric layer,
a height of a second spacer dielectric layer is lower than a height of the dielectric bi-layer, and
a height of the first spacer dielectric layer is same as a height of the first gate dielectric layer.
2. The semiconductor device of
3. The semiconductor device of
the high-k dielectric layer is made of oxide of at least one metal selected from the group consisting of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu.
4. The semiconductor device of
5. The semiconductor device of
6. The semiconductor device of
the high-k dielectric layer is made of oxide of at least one metal selected from the group consisting of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu.
7. The semiconductor device of
8. The semiconductor device of
10. The semiconductor device of
11. The semiconductor device of
12. The semiconductor device of
13. The semiconductor device of
14. The semiconductor device of
15. The semiconductor device of
17. The semiconductor device of
18. The semiconductor device of
19. The semiconductor device of
the high-k dielectric layer is made of oxide of at least one metal selected from the group consisting of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu.
20. The semiconductor device of
|
The present application claims priority to and incorporates by reference U.S. Non-provisional application Ser. No. 14/809,158 filed on Jul. 24, 2015 in its entirety.
The disclosure relates to a semiconductor integrated circuit, and more particularly to a semiconductor device having a fin structure and its manufacturing process.
As the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs, such as a fin field effect transistor (Fin FET). Fin FET devices typically include semiconductor fins with high aspect ratios and in which channel and source/drain (S/D) regions of semiconductor transistor devices are formed. A gate is formed over and along the sides of the fin structure (e.g., wrapping) utilizing the advantage of the increased surface area of the channel and S/D regions to produce faster, more reliable and better-controlled semiconductor transistor devices. In some devices, strained materials in S/D portions of the Fin FET utilizing, for example, silicon germanium (SiGe), silicon carbide (SiC), and/or silicon phosphide (SiP) may be used to enhance carrier mobility.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, dimensions of elements are not limited to the disclosed range or values, but may depend upon process conditions and/or desired properties of the device. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. In addition, the term “made of” may mean either “comprising” or “consisting of.”
As noted above, a gate is formed over and along the sides of the fin structure (e.g., wrapping) utilizing the advantage of the increased surface area of the channel and S/D regions to produce faster, more reliable and better-controlled semiconductor transistor devices. One process of implementing the gate is termed a “gate last” or “replacement gate” methodology. In such a process, a dummy (e.g., polysilicon) gate is initially formed, various processes associated with the semiconductor device are performed, and the dummy gate is subsequently removed and replaced with a gate (e.g., metal gate). During the process of removing the dummy gate, a region in spacer dielectric regions below spacer elements adjacent the dummy gate may be narrowed. Strained materials in S/D portions may be removed due to the narrower region of spacer dielectric regions, thus creating gate to S/D encroachment and poor isolation.
As shown in
A fin structure 12 includes a first fin structure 121 corresponding to a core region and a second fin structure 122 corresponding to a peripheral region. Although the first fin structure 121 and the second fin structure 122 are disposed adjacent each other (as part of the same fin structure 12) in
Alternatively, the substrate 10 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including IV-IV compound semiconductors such as SiC and SiGe, III-V compound semiconductors such as GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In one embodiment, the substrate 10 is a silicon layer of an SOI (silicon-on insulator) substrate. When an SOI substrate is used, the fin structure may protrude from the silicon layer of the SOI substrate or may protrude from the insulator layer of the SOI substrate. In the latter case, the silicon layer of the SOI substrate is used to form the fin structure. Amorphous substrates, such as amorphous Si or amorphous SiC, or insulating material, such as silicon oxide may also be used as the substrate 10. The substrate 10 may include various regions that have been suitably doped with impurities (e.g., p-type or n-type conductivity).
In this embodiment, a bulk silicon wafer is used as a starting material and constitutes the substrate 10. However, in some embodiments, other types of substrate may be used as the substrate 10. For example, a silicon-on-insulator (SOI) wafer may be used as a starting material, and the insulator layer of the SOI wafer constitutes the substrate 10 and the silicon layer of the SOI wafer is used for the fin structure 12.
The pad oxide layer may be formed by using thermal oxidation or a CVD process. The silicon nitride mask layer may be formed by a physical vapor deposition (PVD), such as a sputtering method, a CVD, plasma-enhanced chemical vapor deposition (PECVD), an atmospheric pressure chemical vapor deposition (APCVD), a low-pressure CVD (LPCVD), a high density plasma CVD (HDPCVD), an atomic layer deposition (ALD), and/or other processes.
The thickness of the pad oxide layer is in a range of about 2 nm to about 15 nm and the thickness of the silicon nitride mask layer is in a range of about 2 nm to about 50 nm in some embodiments. A mask pattern is further formed over the mask layer. The mask pattern is, for example, a resist pattern formed by lithography operations.
By using the mask pattern as an etching mask, a hard mask pattern of the pad oxide layer and the silicon nitride mask layer is formed. The width of the hard mask pattern is in a range of about 5 nm to about 40 nm in some embodiments. In certain embodiments, the width of the hard mask patterns is in a range of about 7 nm to about 12 nm.
By using the hard mask pattern as an etching mask, the substrate 10 is patterned into fin structure 12 by trench etching using a dry etching method and/or a wet etching method. A height (Ht) of the fin structure 12 is in a range of about 20 nm to about 300 nm. In certain embodiments, the height is in a range of about 30 nm to about 150 nm. When the heights of the fin structures are not uniform, the height from the substrate may be measured from the plane that corresponds to the average heights of the fin structures. The width of the fin structure 12 is in a range of about 5 nm to 15 nm.
As shown in
After forming the fin structure, an isolation insulating layer 11 is formed over the fin structure 12. The isolation insulating layer 11 includes one or more layers of insulating materials such as silicon oxide, silicon oxynitride or silicon nitride, formed by LPCVD (low pressure chemical vapor deposition), plasma-CVD or flowable CVD. In the flowable CVD, flowable dielectric materials instead of silicon oxide are deposited. Plowable dielectric materials, as their name suggests, can “flow” during deposition to fill gaps or spaces with a high aspect ratio. Usually, various chemistries are added to silicon-containing precursors to allow the deposited film to flow. In some embodiments, nitrogen hydride bonds are added. Examples of flowable dielectric precursors, particularly flowable silicon oxide precursors, include a silicate, a siloxane, a methyl silsesquioxane (MSQ), a hydrogen silsesquioxane (HSQ), an MSQ/HSQ, a perhydrosilazane (TCPS), a perhydro-polysilazane (PSZ), a tetraethyl orthosilicate (TEOS), or a silyl-amine, such as trisilylamine (TSA). These flowable silicon oxide materials are formed in a multiple-operation process. After the flowable film is deposited, it is cured and then annealed to remove undesired element(s) to form silicon oxide. When the undesired element(s) are removed, the flowable film densifies and shrinks. In some embodiments, multiple anneal processes are conducted.
The flowable film is cured and annealed more than once. The flowable film may be doped with boron and/or phosphorous. The isolation insulating layer 11 may be formed by one or more layers of SOG, SiO, SiON, SiOCN and/or fluoride-doped silicate glass (FSG) in some embodiments.
After forming the isolation insulating layer 11 over the fin structure 12, a planarization operation is performed so as to remove part of the isolation insulating layer 11 and the mask layer (the pad oxide layer and the silicon nitride mask layer). The planarization operation may include a chemical mechanical polishing (CMP) and/or an etch-back process. Then, the isolation insulating layer 11 is further removed so that an upper part of the fin structure 12, which is to become a channel layer 12A, is exposed, as shown in
In certain embodiments, the partially removing of the isolation insulating layer 11 may be performed using a wet etching process, for example, by dipping the substrate in hydrofluoric acid (HF). In other embodiments, the partially removing the isolation insulating layer 11 may be performed using a dry etching process. For example, a dry etching process using CHF3 or BF3 as etching gases may be used.
After forming the isolation insulating layer 11, a thermal process, for example, an anneal process, may be performed to improve the quality of the isolation insulating layer 11. In certain embodiments, the thermal process is performed by using rapid thermal annealing (RTA) at a temperature in a range of about 900° C. to about 1050° C. for about 1.5 seconds to about 10 seconds in an inert gas ambient, such as an N2, Ar or He ambient.
First dummy gate structure 60 and second dummy gate structure 60B are formed as shown in
A dielectric layer 20 and a poly silicon layer are formed over the isolation insulating layer 11 and the exposed fin structure 12, and then patterning operations are performed so as to obtain a first dummy gate structure 60 and a second dummy gate structure 60B. The first dummy gate structure 60 includes a first dummy gate electrode layer 50 made of poly silicon and a dummy gate dielectric region 20A. The second dummy gate 60B includes a second dummy gate electrode layer 50B made of poly silicon and a dummy gate dielectric region 20B.
The patterning of the poly silicon layer in the first dummy gate structure 60 is performed by using a hard mask 32 including two layers 30, 40 in some embodiments. In some embodiments, the first layer 30 may be a silicon oxide layer and the second layer 40 may be a silicon nitride layer. In other embodiments, the first layer 30 may be a silicon nitride layer and the second layer 40 may be a silicon oxide layer. The patterning of the poly silicon layer in the second dummy gate structure 60B is also performed by using a hard mask 32B including a silicon nitride layer 40B and a silicon oxide layer 30B in some embodiments. In other embodiments, the order of the silicon nitride and silicon oxide layers may be reversed.
The dummy gate dielectric layer 20 may be silicon oxide formed by thermal oxidation, CVD, PVD, ALD, e-beam evaporation, or other suitable process. In some embodiments, the dielectric layer 20 may include one or more layers of high-k dielectrics, such as hafnium oxide (HfO2). High-k dielectrics comprise metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and/or mixtures thereof. In some embodiments, a thickness of the gate dielectric layer 20 is in a range of about 3 nm to about 4 nm. That is, the height (H) of the dummy gate dielectric region 20A is in a range of about 3 nm to about 4 nm. The dummy gate dielectric region 20A is defined by a first sidewall and a second sidewall of the first dummy gate structure 60, and the first sidewall and second sidewall extend substantially perpendicular to a top surface of the dielectric layer 20. That is, the width (W2) of the dummy gate dielectric region 20A is the same as the width (W1) of the dummy gate electrode layer 50.
In some embodiments, the first and second dummy gate electrode layers 50, 50B may comprise a single layer or multilayer structure. The first and second dummy gate electrode layers 50, 50B may include polysilicon and/or other suitable layers. The first and second dummy gate electrode layers 50, 50B may be formed by depositing a layer of polysilicon. The layer of polysilicon may then be patterned and etched into a polysilicon gate electrode. The first and second dummy gate electrode layers 50, 50B may be formed using a suitable process such as ALD, CVD, PVD, plating, patterning or combinations thereof. In the present embodiment, the width of the first and second dummy gate electrode layer 50, 50B is in the range of about 20 nm to about 300 nm. In some embodiments, a thickness of the first and second dummy gate electrode layer 50, 50B is in a range of about 25 nm to about 240 nm. The width (W3) of the second dummy gate electrode layer 50B is greater than that of the first dummy gate electrode layer 50 in some embodiments.
As shown in
As shown in
In some embodiments, the spacer elements 71A and 71B are formed in accordance with the details described with reference to the spacer elements 70A and 70B, respectively. In some embodiments, the spacer elements 71A and 71B are simultaneously formed by the same operations as the spacer elements 70A and 70B. Spacer elements 71A and 71B are disposed adjacent both major vertical sides of the second dummy gate structure 60B, such as a third sidewall 61S1 and a fourth sidewall 61S2, respectively. Spacer elements 71A and 71B are disposed facing source region 80C and drain region 80D, respectively.
A spacer dielectric region 22A is defined by a first sidewall and a second sidewall of the spacer element 70A, and the first sidewall and second sidewall extend substantially perpendicular to a top surface of the spacer dielectric region 22A. A spacer dielectric region 22B, is defined by a first sidewall and a second sidewall of the spacer element 70B, and the first sidewall and second sidewall extend substantially perpendicular to a top surface of the spacer dielectric region 22B. That is, the width of the spacer dielectric region 22A or 22B is equal to or less than the width of the spacer element 70A or 70B, respectively.
Similarly, a spacer dielectric region 22C is defined by a first sidewall and a second sidewall of the spacer element 71A, and the first sidewall and second sidewall extend substantially perpendicular to a top surface of the spacer dielectric region 22C. A spacer dielectric region 22D is defined by a first sidewall and a second sidewall of the spacer element 71B, and the first sidewall and second sidewall extend substantially perpendicular to a top surface of the spacer dielectric region 22D. That is, the width of the spacer dielectric region 22C or 22D is equal to or less than the width of the spacer element 71A or 71B, respectively.
The first fin structure 121 and the second fin structure 122 in
As shown in
As shown in
In some embodiments, additional spacer element layers 71C and 71D are formed in accordance with the details described with reference to the additional spacer element layers 70C and 70D, respectively. In some embodiments, the additional spacer element layers 71C and 71D are formed by the same operations as the additional spacer element layers 70C and 70D.
In some embodiments, an inter-layer dielectric (ILD) layer 90 may be formed over a portion of the channel layer 12A, the source regions 80A, 80C and the drain regions 80B, 80D. The ILD layer 90 may be formed by chemical vapor deposition (CVD), high density plasma CVD (HDP-CVD), spin-on deposition, physical vapor deposition (PVD or sputtering), or other suitable methods. The ILD layer 90 may include silicon oxide, silicon oxynitride, a low-k material, and/or other suitable dielectric. The ILD layer 90 may be formed on and surrounding the first dummy gate structure 60 and the second dummy gate structure 60B. The ILD layer 90 may be conformably deposited and a chemical mechanical polish (CMP) process performed to planarize the material. The first dummy gate structure 60 and the second dummy gate structure 60B may serve as a planarization stopper for the CMP process. In other words, the CMP process may be stopped at the exposure of the top surface of the first dummy gate structure 60 and the second dummy gate structure 60B. In some embodiments, the hard mask 32 and part of the spacer elements 70A,70B and/or additional spacer element layers 70C, 70D are removed from the first dummy gate structure 60 by the CMP process. In some embodiments, the hard mask 32B and part of the spacer elements 71A, 71B and/or additional spacer element layers 71C, 71D are removed from the second dummy gate structure 60B by the CMP process.
As shown in
In some embodiments, the dummy gate dielectric region 20A may be removed using an etching process (wet etch, dry etch, plasma etch, etc.). The removal of the dummy gate dielectric region 20A may expose a top surface of the channel layer 12A. It is noted that the spacer dielectric region 22A and 22B remain on the channel layer 12A underlying the spacer element 70A and the spacer element 70B respectively.
As shown in
As shown in
In some embodiments, the high-k dielectric layers 120 and 120B may comprise one or more layers of metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and/or mixtures thereof. In this embodiment, hafnium oxide (HfO2) is used. The high-k dielectric layers 120 and 120B may be formed by atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), and/or other suitable methods.
The metal layers 140 and 140B may include one or more layers of Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi, other conductive materials with a work function compatible with the substrate material, or combinations thereof. The metal layers 140 and 140B may be formed by CVD, PVD, plating, and/or other suitable processes. One or more CMP processes may be performed during the formation of the gate structure.
In some embodiments, the gate voltage of the Fin FET 200 may be higher than that of the Fin FET 100, which requires the gate dielectric layer 20B of the Fin FET 200 to be thicker than the interfacial layer 110 of the Fin FET 100.
It is understood that the structures shown in
Instead of etching a portion of the dielectric layer 20, no etching is performed on the dielectric layer 20 as shown in
In one embodiment, the height (h) of the spacer dielectric regions 20C, 20D, 20E, 20F and the gate dielectric regions 20A, 20B is in a range of about 1 nm to 3 nm.
In some embodiments, source regions 81A, 81C, drain regions 81B, 81D, spacer elements 72A, 72B, and spacer elements 73A, 73B as shown in
As shown in
It is understood that the device shown in
In some embodiments, the gate voltage of the Fin FET 200A may be higher than the Fin FET 100A, which requires the height of the gate dielectric layer 20B and the dielectric layer 21B of the Fin FET 200A to be thicker than interfacial layer 111 of the Fin FET 100A.
The various embodiments or examples described herein offer several advantages over the existing art. For example, by optimizing the height of the spacer dielectric region and/or the difference between the height of the dummy gate dielectric region and height of the spacer dielectric region, it is possible to improve the immunity against the etching solution (e.g., dilute-HF and/or aqueous ammonia) for the spacer dielectric region, for example due to surface tension and capillary effects. It is also possible to improve gate-to-S/D isolation and device life time under hot carrier degradation. In another example, by using the surface treatment process to the spacer dielectric region, it is possible to improve the immunity against the etching solution (e.g., dilute-HF and/or aqueous ammonia) for the spacer dielectric region.
It will be understood that not all advantages have been necessarily discussed herein, no particular advantage is required for all embodiments or examples, and other embodiments or examples may offer different advantages. In one example, although the processes described with reference to
In accordance with one aspect of the present disclosure, a method of semiconductor fabrication includes forming a dielectric layer over a substrate. A first dummy gate structure is formed on the dielectric layer so that the dielectric layer includes a first gate dielectric region disposed below and defined by the first dummy gate structure. A portion of the dielectric layer not included in the first gate dielectric region is etched to form a dielectric etch back region adjacent the first gate dielectric region. A first spacer element is formed on a portion of the dielectric etch back region and on sidewalls of the first dummy gate structure, and the first spacer element abuts the dummy gate structure, the portion of the dielectric etch back region includes a first spacer dielectric region disposed below and defined by the first spacer element, and a height of the first gate dielectric region is greater than the height of the first spacer dielectric region. A first recessed portion is formed in the substrate. A strained material is selectively grown over the first recessed portion of the substrate to form a first strained recessed region, and the first strained recess region is adjacent the first spacer dielectric region. The first dummy gate structure and the first gate dielectric region are removed to form a first opening. A first gate structure including a gate electrode layer and a gate dielectric layer is formed in the first opening.
In accordance with another aspect of the present disclosure, a method of semiconductor fabrication includes forming a first dielectric layer over a substrate. A first dummy gate structure is formed on the first dielectric layer so that the first dielectric layer comprises a first gate dielectric region disposed below and defined by the first dummy gate structure. A first spacer element is formed on a portion of the first dielectric layer, and the first spacer element abuts the first dummy gate structure, and the portion of the first dielectric layer comprises a first spacer dielectric region disposed below and defined by the first spacer element. A first recessed portion is formed in the substrate. A strained material is selectively grown over the first recessed portion of the substrate to form a first strained recessed region, and the first strained recess region is adjacent the first spacer dielectric region. The first dummy gate structure is removed. A second dielectric layer is formed on the first gate dielectric region. The second dielectric layer and the first gate dielectric region are removed to form a first opening. A first gate structure including a gate electrode layer and a gate dielectric layer is formed in the first opening.
In accordance with another aspect of the present disclosure, a semiconductor device includes a first structure and a second structure. The first structure includes a first gate structure disposed over a substrate, a first spacer element abutting the first gate structure and a first strained recess region over a recessed portion in the substrate adjacent the first gate structure. The second structure includes a second gate structure disposed over the substrate, a second spacer element abutting the second gate structure and a second strained recess region over a recessed portion in the substrate adjacent the second gate structure. The first gate structure includes a first gate dielectric region disposed over the substrate and underlying a first gate electrode. The second gate structure includes a second gate dielectric region disposed over the substrate and underlying a second gate electrode. A first spacer dielectric region is disposed over the substrate and underlying the first spacer element and a second spacer dielectric region is disposed over the substrate and underlying the second spacer element. A height of the second gate dielectric region is greater than the height of the second spacer dielectric region.
The foregoing outlines features of several embodiments or examples so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Chang, Shih-Hsun, Liang, Chun-Sheng
Patent | Priority | Assignee | Title |
Patent | Priority | Assignee | Title |
5739573, | Jul 22 1994 | NEC Electronics Corporation | Semiconductor device with improved salicide structure and a method of manufacturing the same |
5882993, | Aug 19 1996 | Advanced Micro Devices, Inc. | Integrated circuit with differing gate oxide thickness and process for making same |
6033943, | Aug 23 1996 | Advanced Micro Devices, Inc.; Advanced Micro Devices, INC | Dual gate oxide thickness integrated circuit and process for making same |
6093610, | Jun 18 1997 | Texas Instruments Incorporated | Self-aligned pocket process for deep sub-0.1 μm CMOS devices and the device |
6501134, | Jan 09 2001 | Advanced Micro Devices, Inc. | Ultra thin SOI devices with improved short-channel control |
6515338, | Jun 30 1997 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing method therefor |
6569742, | Dec 25 1998 | Acacia Research Group LLC | Method of manufacturing semiconductor integrated circuit device having silicide layers |
6661066, | May 21 1999 | Renesas Electronics Corporation; NEC Electronics Corporation | Semiconductor device including inversely tapered gate electrode and manufacturing method thereof |
7118954, | May 26 2005 | United Microelectronics Corp. | High voltage metal-oxide-semiconductor transistor devices and method of making the same |
7378305, | Jan 11 2005 | Fujitsu Limited | Semiconductor integrated circuit and fabrication process thereof |
7569466, | Dec 16 2005 | GLOBALFOUNDRIES U S INC | Dual metal gate self-aligned integration |
8067283, | Nov 13 2009 | Vanguard International Semiconductor Corporation | Semiconductor device fabricating method |
8114728, | Nov 02 2007 | Texas Instruments Incorporated | Integration scheme for an NMOS metal gate |
8420473, | Dec 06 2010 | GLOBALFOUNDRIES Inc | Replacement gate devices with barrier metal for simultaneous processing |
8487378, | Jan 21 2011 | Taiwan Semiconductor Manufacturing Company, Ltd | Non-uniform channel junction-less transistor |
8530974, | Sep 08 2011 | GLOBALFOUNDRIES U S INC | CMOS structure having multiple threshold voltage devices |
8598661, | Jul 13 2011 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial process for forming semiconductor devices |
8729634, | Jun 15 2012 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with high mobility and strain channel |
8826213, | Mar 11 2013 | Taiwan Semiconductor Manufacturing Company, Ltd. | Parasitic capacitance extraction for FinFETs |
8887106, | Dec 28 2011 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process |
9059206, | Dec 10 2012 | GLOBALFOUNDRIES Inc | Epitaxial grown extremely shallow extension region |
9330980, | Dec 27 2012 | Marlin Semiconductor Limited | Semiconductor process |
9362405, | Dec 04 2014 | GLOBALFOUNDRIES Inc. | Channel cladding last process flow for forming a channel region on a FinFET device |
9735059, | Jun 25 2013 | Samsung Electronics Co., Ltd. | Method of fabricating semiconductor device including an etch barrier pattern |
20020052086, | |||
20030059983, | |||
20040150066, | |||
20040227185, | |||
20050098839, | |||
20050130454, | |||
20060008973, | |||
20060121740, | |||
20060194443, | |||
20070200185, | |||
20080012018, | |||
20080076214, | |||
20080272437, | |||
20080272438, | |||
20090104746, | |||
20090108373, | |||
20090212376, | |||
20100181620, | |||
20100264495, | |||
20110031554, | |||
20110031562, | |||
20110049567, | |||
20110151655, | |||
20120001254, | |||
20120003806, | |||
20120056270, | |||
20120132966, | |||
20120187501, | |||
20120205715, | |||
20120225545, | |||
20130015509, | |||
20130084682, | |||
20130249010, | |||
20140197410, | |||
20140239354, | |||
20140239404, | |||
20140282326, | |||
20140335673, | |||
20140339645, | |||
20140353719, | |||
20140374840, | |||
20150001468, | |||
20150021681, | |||
20150076609, | |||
20150162445, | |||
20150194348, | |||
20150228747, | |||
20150255557, | |||
20150263128, | |||
20150270398, | |||
20150318377, | |||
20150348788, | |||
20150357468, | |||
20160163601, | |||
20160218180, | |||
20170069547, | |||
20170125305, | |||
20170133489, | |||
20170200718, | |||
20180366556, | |||
20190181157, | |||
CN101322230, | |||
CN107346783, | |||
KR1020140107073, | |||
KR20000073979, |
Executed on | Assignor | Assignee | Conveyance | Frame | Reel | Doc |
Sep 05 2016 | LIANG, CHUN-SHENG | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 046785 | /0715 | |
Sep 05 2016 | CHIANG, SHIH-HSUN | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 046785 | /0715 | |
Sep 05 2016 | LIANG, CHUN-SHENG | Taiwan Semiconductor Manufacturing Company, Ltd | CORRECTIVE ASSIGNMENT TO CORRECT THE CONVEYING PARTY PREVIOUSLY RECORDED AT REEL: 046785 FRAME: 0715 ASSIGNOR S HEREBY CONFIRMS THE ASSIGNMENT | 047028 | /0727 | |
Sep 05 2016 | CHANG, SHIH-HSUN | Taiwan Semiconductor Manufacturing Company, Ltd | CORRECTIVE ASSIGNMENT TO CORRECT THE CONVEYING PARTY PREVIOUSLY RECORDED AT REEL: 046785 FRAME: 0715 ASSIGNOR S HEREBY CONFIRMS THE ASSIGNMENT | 047028 | /0727 | |
Aug 13 2018 | Taiwan Semiconductor Manufacturing Co., Ltd. | (assignment on the face of the patent) | / |
Date | Maintenance Fee Events |
Aug 13 2018 | BIG: Entity status set to Undiscounted (note the period is included in the code). |
Date | Maintenance Schedule |
Jun 29 2024 | 4 years fee payment window open |
Dec 29 2024 | 6 months grace period start (w surcharge) |
Jun 29 2025 | patent expiry (for year 4) |
Jun 29 2027 | 2 years to revive unintentionally abandoned end. (for year 4) |
Jun 29 2028 | 8 years fee payment window open |
Dec 29 2028 | 6 months grace period start (w surcharge) |
Jun 29 2029 | patent expiry (for year 8) |
Jun 29 2031 | 2 years to revive unintentionally abandoned end. (for year 8) |
Jun 29 2032 | 12 years fee payment window open |
Dec 29 2032 | 6 months grace period start (w surcharge) |
Jun 29 2033 | patent expiry (for year 12) |
Jun 29 2035 | 2 years to revive unintentionally abandoned end. (for year 12) |