The present disclosure relates to a radio frequency (RF) device that includes a mold device die and a multilayer redistribution structure underneath the mold device die. The mold device die includes a device region with a back-end-of-line (BEOL) portion and a front-end-of-line (FEOL) portion over the BEOL portion, and a first mold compound. The FEOL portion includes an active layer formed from a strained silicon epitaxial layer, in which a lattice constant is greater than 5.461 at a temperature of 300K. The first mold compound resides over the active layer. Herein, silicon crystal does not exist between the first mold compound and the active layer. The multilayer redistribution structure includes a number of bump structures, which are at a bottom of the multilayer redistribution structure and electrically coupled to the FEOL portion of the mold device die.

Patent
   11387206
Priority
Jan 23 2019
Filed
Nov 08 2019
Issued
Jul 12 2022
Expiry
Nov 08 2039
Assg.orig
Entity
unknown
0
344
currently ok
1. An apparatus comprising:
a mold device die comprising a device region and a first mold compound, wherein:
the device region includes a front-end-of-line (FEOL) portion and a back-end-of-line (BEOL) portion underneath the FEOL portion, wherein the FEOL portion comprises an active layer and isolation sections, which surround the active layer and extend vertically beyond a top surface of the active layer to define an opening within the isolation sections and over the active layer;
the active layer is formed from a strained silicon epitaxial layer, wherein a lattice constant of silicon is greater than 5.461 in the strained silicon at a temperature of 300K;
a passivation layer over the top surface of the active layer and within the opening, wherein the passivation layer is formed of silicon dioxide, silicon nitride, or a combination of both; and
the first mold compound fills the opening and is in contact with the passivation layer, wherein silicon crystal, which has no germanium, nitrogen, or oxygen content, does not exist between the first mold compound and the active layer; and
a multilayer redistribution structure formed underneath the BEOL portion of the mold device die, wherein the multilayer redistribution structure comprises a plurality of bump structures, which are on a bottom surface of the multilayer redistribution structure and electrically coupled to the FEOL portion of the mold device die.
8. An apparatus comprising:
a mold device die comprising a device region and a first mold compound, wherein:
the device region includes a front-end-of-line (FEOL) portion and a back-end-of-line (BEOL) portion underneath the FEOL portion, wherein the FEOL portion comprises an active layer and isolation sections, which surround the active layer and extend vertically beyond a top surface of the active layer to define an opening within the isolation sections and over the active layer;
the active layer is formed from a strained silicon epitaxial layer, wherein a lattice constant of silicon is greater than 5.461 in the strained silicon at a temperature of 300K;
a passivation layer over the top surface of the active layer and within the opening, wherein the passivation layer is formed of silicon dioxide, silicon nitride, or a combination of both; and
the first mold compound fills the opening and is in contact with the passivation layer, wherein silicon crystal, which has no germanium, nitrogen, or oxygen content, does not exist between the first mold compound and the active layer;
a multilayer redistribution structure formed underneath the BEOL portion of the mold device die, wherein:
the multilayer redistribution structure extends horizontally beyond the mold device die; and
the multilayer redistribution structure comprises a plurality of bump structures, which are on a bottom surface of the multilayer redistribution structure, and electrically coupled to the FEOL portion of the mold device die; and
a second mold compound residing over the multilayer redistribution structure to encapsulate the mold device die.
2. The apparatus of claim 1 wherein:
the BEOL portion comprises connecting layers;
the FEOL portion further comprises a contact layer, wherein the active layer and the isolation sections reside over the contact layer, and the BEOL portion resides underneath the contact layer; and
the multilayer redistribution structure further comprises redistribution interconnections, wherein the plurality of bump structures are electrically coupled to the FEOL portion of the mold device die via the redistribution interconnections within the multilayer redistribution structure and the connecting layers within the BEOL portion.
3. The apparatus of claim 1 wherein the FEOL portion is configured to provide at least one of a switch field-effect transistor (FET), a diode, a capacitor, a resistor, or an inductor.
4. The apparatus of claim 1 wherein the first mold compound has a thermal conductivity greater than 1 W/m·K.
5. The apparatus of claim 1 wherein a portion of the first mold compound resides over the isolation sections.
6. The apparatus of claim 1 wherein the first mold compound has a dielectric constant between 3 and 5.
7. The apparatus of claim 1 wherein the first mold compound has a dielectric constant less than 8.
9. The apparatus of claim 8 wherein:
the BEOL portion comprises connecting layers;
the FEOL portion further comprises a contact layer, wherein the active layer and the isolation sections reside over the contact layer, and the BEOL portion resides underneath the contact layer; and
the multilayer redistribution structure further comprises redistribution interconnections, wherein the plurality of bump structures is electrically coupled to the FEOL portion of the mold device die via the redistribution interconnections within the multilayer redistribution structure and the connecting layers within the BEOL portion.
10. The apparatus of claim 8 wherein the FEOL portion is configured to provide at least one of a switch field-effect transistor (FET), a diode, a capacitor, a resistor, or an inductor.
11. The apparatus of claim 8 wherein the first mold compound and the second mold compound are formed from different materials.
12. The apparatus of claim 8 wherein the first mold compound is formed from a same material as the second mold compound.
13. The apparatus of claim 8 wherein the first mold compound has a thermal conductivity greater than 1 W/m·K.
14. The apparatus of claim 8 wherein a portion of the first mold compound resides over the isolation sections.
15. The apparatus of claim 8 wherein the first mold compound has a dielectric constant between 3 and 5.
16. The apparatus of claim 8 wherein the first mold compound has a dielectric constant less than 8.

This application claims the benefit of provisional patent application Ser. No. 62/840,814, filed Apr. 30, 2019, and provisional patent application Ser. No. 62/795,804, filed Jan. 23, 2019, the disclosures of which are hereby incorporated herein by reference in their entireties.

The present application is related to concurrently filed U.S. patent application Ser. No. 16/678,573, filed on Nov. 8, 2019, now U.S. Patent Application Publication No. 2020-0235024 A1, entitled “RF DEVICES WITH ENHANCED PERFORMANCE AND METHODS OF FORMING THE SAME,” U.S. patent application Ser. No. 16/678,586, filed on Nov. 8, 2019, now U.S. Patent Application Publication No. 2020-0234978 A1, entitled “RF DEVICES WITH ENHANCED PERFORMANCE AND METHODS OF FORMING THE SAME,” U.S. patent application Ser. No. 16/678,602, filed on Nov. 8, 2019, now U.S. Patent Application Publication No. 2020-0235040 A1, entitled “RF DEVICES WITH ENHANCED PERFORMANCE AND METHODS OF FORMING THE SAME,” and U.S. patent application Ser. No. 16/678,619, filed on Nov. 8, 2019, now U.S. Patent Application Publication No. 2020-0235074 A1, entitled “RF DEVICES WITH ENHANCED PERFORMANCE AND METHODS OF FORMING THE SAME,” the disclosures of which are hereby incorporated herein by reference in their entireties.

The present disclosure relates to a radio frequency (RF) device and a process for making the same, and more particularly to an RF device with enhanced thermal and electrical performance, and a wafer-level fabricating and packaging process to provide the RF device with enhanced performance.

The wide utilization of cellular and wireless devices drives the rapid development of radio frequency (RF) technologies. The substrates on which RF devices are fabricated play an important role in achieving high level performance in the RF technologies. Fabrications of the RF devices on conventional silicon substrates may benefit from low cost of silicon materials, a large scale capacity of wafer production, well-established semiconductor design tools, and well-established semiconductor manufacturing techniques. Despite the benefits of using conventional silicon substrates for the RF device fabrications, it is well known in the industry that the conventional silicon substrates may have two undesirable properties for the RF devices: harmonic distortion and low resistivity values. The harmonic distortion is a critical impediment to achieve high level linearity in the RF devices built over silicon substrates.

In addition, high speed and high performance transistors are more densely integrated in RF devices. Consequently, the amount of heat generated by the RF devices will increase significantly due to the large number of transistors integrated in the RF devices, the large amount of power passing through the transistors, and/or the high operation speed of the transistors. Accordingly, it is desirable to package the RF devices in a configuration for better heat dissipation.

Wafer-level fan-out (WLFO) technology and embedded wafer-level ball grid array (eWLB) technology currently attract substantial attention in portable RF applications. WLFO and eWLB technologies are designed to provide high density input/output (I/O) ports without increasing the size of a package. This capability allows for densely packaging the RF devices within a single wafer.

To enhance the operation speed and performance of the RF devices, to accommodate the increased heat generation of the RF devices, to reduce deleterious harmonic distortion of the RF devices, and to utilize advantages of WLFO/eWLB technologies, it is therefore an object of the present disclosure to provide an improved wafer-level fabricating and packaging process for the RF devices. Further, there is also a need to enhance the performance of the RF devices without increasing the device size.

The present disclosure relates to a radio frequency (RF) device with enhanced performance, and a process for making the same. The disclosed RF device includes a mold device die and a multilayer redistribution structure. The mold device die includes a first mold compound and a device region with a front-end-of-line (FEOL) portion and a back-end-of-line (BEOL) portion underneath the FEOL portion. Herein, the FEOL portion has isolation sections and an active layer, which is surrounded by the isolation sections and does not extend vertically beyond the isolation sections. The active layer is formed from a strained silicon epitaxial layer, in which a lattice constant is greater than 5.461 at a temperature of 300K. The first mold compound resides over the active layer of the FEOL portion. Silicon crystal, which has no germanium, nitrogen, or oxygen content, does not exist between the first mold compound and the active layer. The multilayer redistribution structure, which includes a number of bump structures, is formed underneath the BEOL portion of the mold device die. The bump structures are on a bottom surface of the multilayer redistribution structure, and electrically coupled to the FEOL portion of the mold device die.

In one embodiment of the RF device, the FEOL portion further includes a contact layer, over which the active layer and the isolation sections reside. The BEOL portion includes connecting layers and resides underneath the contact layer of the FEOL portion. The multilayer redistribution structure further includes redistribution interconnections within the multilayer redistribution structure. Herein, the bump structures are electrically coupled to the FEOL portion of the mold device die via the redistribution interconnections within the multilayer redistribution structure and the connecting layers within the BEOL portion.

In one embodiment of the RF device, a portion of the first mold compound resides over the isolation sections.

In one embodiment of the RF device, the isolation sections extend vertically beyond a top surface of the active layer to define an opening within the isolation sections and over the active layer. Herein, the first mold compound fills the opening.

According to another embodiment, the RF device further includes a passivation layer over the top surface of the active layer and within the opening. Herein, the passivation layer is formed of silicon dioxide, silicon nitride, or a combination of both, and the first mold compound is in contact with the passivation layer.

In one embodiment of the RF device, the first mold compound is in contact with the top surface of the active layer.

In one embodiment of the RF device, a top surface of each isolation section and the top surface of the active layer are coplanar. Herein, the first mold compound resides over both the active layer and the isolation sections.

In one embodiment of the RF device, the first mold compound has a thermal conductivity greater than 1 W/m·K.

In one embodiment of the RF device, the first mold compound has a dielectric constant less than 8.

In one embodiment of the RF device, the first mold compound has a dielectric constant between 3 and 5.

In one embodiment of the RF device, the FEOL portion is configured to provide at least one of a switch field-effect transistor (FET), a diode, a capacitor, a resistor, or an inductor.

According to another embodiment, an alternative RF device includes a mold device die and a multilayer redistribution structure. The mold device die includes a first mold compound and a device region with a FEOL portion and a BEOL portion underneath the FEOL portion. Herein, the FEOL portion has isolation sections and an active layer, which is surrounded by the isolation sections and does not extend vertically beyond the isolation sections. The active layer is formed from a strained silicon epitaxial layer, in which a lattice constant is greater than 5.461 at a temperature of 300K. The first mold compound resides over the active layer of the FEOL portion. Silicon crystal, which has no germanium content, does not exist between the first mold compound and the active layer. The multilayer redistribution structure, which includes a number of bump structures, is formed underneath the BEOL portion of the mold device die. The bump structures are on a bottom surface of the multilayer redistribution structure and electrically coupled to the FEOL portion of the mold device die. The multilayer redistribution structure extends horizontally beyond the mold device die. The alternative RF device further includes a second mold compound residing over the multilayer redistribution structure to encapsulate the mold device die.

In one embodiment of the alternative RF device, the first mold compound is formed from a same material as the second mold compound.

In one embodiment of the alternative RF device, the first mold compound and the second mold compound are formed from different materials.

According to an exemplary process, a precursor wafer, which includes a number of device regions, a number of individual interfacial layers, and a silicon handle substrate, is firstly provided. Each device region includes a BEOL portion and a FEOL portion over the BEOL portion. The FEOL portion has isolation sections and an active layer, which is surrounded by the isolation sections and does not extend vertically beyond the isolation sections. Herein, each active layer is formed from an individual strained silicon epitaxial layer, in which a lattice constant is greater than 5.461 at a temperature of 300K. In addition, each individual interfacial layer is over the active layer of a corresponding device region, and the silicon handle substrate is over each individual interfacial layer. Each individual interfacial layer is formed of SiGe with a germanium concentration greater than 15%, and lattice constant in each individual interfacial layer is greater than 5.461 at a temperature of 300K. Each individual interfacial layer is not strained by the silicon handle substrate. Next, the silicon handle substrate is removed completely. A first mold compound is then applied to provide a mold device wafer that includes a number of mold device dies. Herein, the first mold compound is applied over the active layer of each device region from where the silicon handle substrate is removed. Silicon crystal, which has no germanium, nitrogen, or oxygen content, does not exist between the active layer of each device region and the first mold compound. Each mold device die includes a corresponding device region and a portion of the first mold compound over the active layer of the corresponding device region.

According to another embodiment, the exemplary process further includes bonding the precursor wafer to a temporary carrier via a bonding layer before the silicon handle substrate is removed, and debonding the temporary carrier and cleaning the bonding layer from the mold device wafer after the first mold compound is applied.

According to another embodiment, the exemplary process further includes forming a multilayer redistribution structure underneath the mold device wafer. Herein, the multilayer redistribution structure includes a number of bump structures on a bottom surface of the multilayer redistribution structure and redistribution interconnections within the multilayer redistribution structure. Each bump structure is electrically coupled to one active layer of a corresponding mold device die via the redistribution interconnections within the multilayer redistribution structure and connecting layers within the BEOL portion of the corresponding mold device die.

According to another embodiment, the exemplary process further includes singulating the mold device wafer into a number of individual mold device dies. A second mold compound is then applied around and over the individual mold device dies to provide a double mold device wafer. Herein, the second mold compound encapsulates a top surface and side surfaces of each individual mold device die, while a bottom surface of each individual mold device die is exposed. A bottom surface of the double mold device wafer is a combination of the bottom surface of each individual mold device die and a bottom surface of the second mold compound. Next, a multilayer redistribution structure is formed underneath the double mold device wafer. The multilayer redistribution structure includes a number of bump structures on a bottom surface of the multilayer redistribution structure and redistribution interconnections within the multilayer redistribution structure. Each bump structure is electrically coupled to one active layer of a corresponding individual mold device die via the redistribution interconnections within the multilayer redistribution structure and connecting layers within the BEOL portion of the corresponding individual mold device die.

In one embodiment of the exemplary process, the precursor wafer further includes a number of individual buffer structures. Herein, the germanium concentration within each individual interfacial layer is uniform. Each individual buffer structure resides between the silicon handle substrate and a corresponding individual interfacial layer. Each individual buffer structure is formed of SiGe with a vertically graded germanium concentration. The vertically graded germanium concentration within each individual buffer structure increases from the silicon handle substrate to the corresponding individual interfacial layer.

According to another embodiment, the exemplary process further includes removing each individual buffer structure and each interfacial layer before applying the first mold compound, such that the active layer of each device region is in contact with the first mold compound after the first mold compound is applied.

According to another embodiment, the exemplary process further includes removing each individual buffer structure and each individual interfacial layer, and applying a passivation layer directly over the active layer of each device region before applying the first mold compound. The passivation layer is formed of silicon dioxide, silicon nitride, or a combination of both, and the passivation layer is in contact with the first mold compound after the first mold compound is applied.

In one embodiment of the exemplary process, providing the precursor wafer begins with providing a starting wafer that includes a common strained silicon epitaxial layer, a common interfacial layer over the common strained silicon epitaxial layer, and a silicon handle substrate over the common interfacial layer. In the common strained silicon epitaxial layer, a lattice constant is greater than 5.461 at a temperature of 300K. The common interfacial layer is formed of SiGe with a germanium concentration greater than 15%, and a lattice constant in the common interfacial layer is greater than 5.461 at a temperature of 300K. The common interfacial layer is not strained by the silicon handle substrate. A complementary metal-oxide-semiconductor (CMOS) process is then performed to provide the precursor wafer. Herein, the isolation sections extend through the common strained silicon epitaxial layer and the common interfacial layer, and extend into the silicon handle substrate, such that the common interfacial layer is separated into a number of individual interfacial layers, and the common strained silicon epitaxial layer is separated into a number of individual strained silicon epitaxial layers. Each active layer of the device region is formed from a corresponding individual strained silicon epitaxial layer. Each individual interfacial layer resides over a top surface of a corresponding active layer, and the silicon handle substrate resides over the individual interfacial layers.

In one embodiment of the exemplary process, the starting wafer further includes a common buffer structure between the silicon handle substrate and the common interfacial layer. Herein, the germanium concentration within the common interfacial layer is uniform. The common buffer structure is formed of SiGe with a vertically graded germanium concentration. The vertically graded germanium concentration within the common buffer structure increases from the silicon handle substrate to the common interfacial layer.

In one embodiment of the exemplary process, the isolation sections extend through the common strained silicon epitaxial layer, the common interfacial layer, the common buffer structure, and extend into the silicon handle substrate, such that the common buffer structure is separated into a number of individual buffer structures, the common interfacial layer is separated into the individual interfacial layers, and the common strained silicon epitaxial layer is separated into the individual strained silicon epitaxial layers. Each individual buffer structure resides over a corresponding interfacial layer, and the silicon handle substrate resides over each individual buffer structure.

Those skilled in the art will appreciate the scope of the present disclosure and realize additional aspects thereof after reading the following detailed description of the preferred embodiments in association with the accompanying drawing figures.

The accompanying drawing figures incorporated in and forming a part of this specification illustrate several aspects of the disclosure, and together with the description serve to explain the principles of the disclosure.

FIG. 1 shows a typical a silicon-silicon germanium-silicon (Si—SiGe—Si) structure.

FIG. 2 shows relaxed silicon and relaxed silicon germanium.

FIG. 3 shows strained silicon grown over relaxed silicon germanium.

FIG. 4 shows an exemplary radio frequency (RF) device with enhanced performance according to one embodiment of the present disclosure.

FIG. 5 shows an alternative RF device with enhanced thermal and electrical performance according to one embodiment of the present disclosure.

FIGS. 6-17 show an exemplary wafer-level fabricating and packaging process that illustrates steps to provide the exemplary RF device shown in FIG. 4.

FIGS. 18-23 show an alternative wafer-level fabricating and packaging process that illustrates steps to provide the alternative RF device shown in FIG. 5.

It will be understood that for clear illustrations, FIGS. 1-23 may not be drawn to scale.

The embodiments set forth below represent the necessary information to enable those skilled in the art to practice the embodiments and illustrate the best mode of practicing the embodiments. Upon reading the following description in light of the accompanying drawing figures, those skilled in the art will understand the concepts of the disclosure and will recognize applications of these concepts not particularly addressed herein. It should be understood that these concepts and applications fall within the scope of the disclosure and the accompanying claims.

It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of the present disclosure. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.

It will be understood that when an element such as a layer, region, or substrate is referred to as being “on” or extending “onto” another element, it can be directly on or extend directly onto the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or extending “directly onto” another element, there are no intervening elements present. Likewise, it will be understood that when an element such as a layer, region, or substrate is referred to as being “over” or extending “over” another element, it can be directly over or extend directly over the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly over” or extending “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.

Relative terms such as “below” or “above” or “upper” or “lower” or “horizontal” or “vertical” may be used herein to describe a relationship of one element, layer, or region to another element, layer, or region as illustrated in the Figures. It will be understood that these terms and those discussed above are intended to encompass different orientations of the device in addition to the orientation depicted in the Figures.

The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the disclosure. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including” when used herein specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.

Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this disclosure belongs. It will be further understood that terms used herein should be interpreted as having a meaning that is consistent with their meaning in the context of this specification and the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.

With the looming shortage of conventional radio frequency silicon on insulator (RFSOI) wafers expected in the coming years, alternative technologies are being devised to get around the need for high resistivity using silicon wafers, the trap rich layer formation, and Smart-Cut SOI wafer process. One alternative technology is based on the use of a silicon germanium (SiGe) interfacial layer instead of a buried oxide layer (BOX) between a silicon substrate and a silicon epitaxial layer, which forms a silicon-silicon germanium-silicon (Si—SiGe—Si) structure, as shown in FIG. 1. However, this technology will still suffer from the deleterious distortion effects due to the silicon substrate, similar to what is observed in RFSOI technology.

At a fixed temperature, e.g., 300K, a lattice constant of relaxed silicon is 5.431 Å, while a lattice constant of relaxed Si1-xGex depends on the germanium concentration, such as (5.431+0.2x+0.027x2) Å, which is larger than the lattice constant of relaxed silicon, as illustrated in FIG. 2. If silicon is grown over relaxed SiGe, the lattice constant of silicon will be strained (stretched) to match the lattice constant of underlying relaxed SiGe, as illustrated in FIG. 3. It is clear to those skilled in the art that electrons in the strained silicon (with an increased lattice constant) will have enhanced mobility compared to the original/relaxed silicon, because silicon atoms move farther apart from each other, which reduces the atomic forces interfering with the movement of electrons. However, in the conventional Si—SiGe—Si structure, the SiGe interfacial layer is grown over the silicon substrate, such that the lattice constant of the SiGe interfacial layer may be strained (reduced) by the silicon substrate, and the lattice constant in the silicon epitaxial layer may remain as the original relaxed form (about the same as the lattice constant in the silicon substrate). Consequently, the silicon epitaxial layer in the conventional Si—SiGe—Si structure may not have electron mobility enhancement. The present disclosure, which relates to a radio frequency (RF) device and a wafer-level fabricating and packaging process for making the same, benefits from a strained silicon layer with electron mobility enhancement without deleterious distortion effects from the silicon substrate.

FIG. 4 shows an exemplary RF device 10 with enhanced performance according to one embodiment of the present disclosure. For the purpose of this illustration, the exemplary RF device 10 includes a mold device die 12 with a device region 14 and a first mold compound 16, and a multilayer redistribution structure 18 formed under the mold device die 12.

In detail, the device region 14 includes a front-end-of-line (FEOL) portion 20 and a back-end-of-line (BEOL) portion 22 underneath the FEOL portion 20. In one embodiment, the FEOL portion 20 may be configured to provide a switch field-effect transistor (FET), and includes an active layer 24 and a contact layer 26. The active layer 24 is formed from a strained silicon epitaxial layer, and includes a source 28, a drain 30, and a channel 32 between the source 28 and the drain 30. Herein, the strained silicon epitaxial layer refers to a silicon epitaxial layer, in which the lattice constant of silicon is greater than a lattice constant of relaxed silicon. The lattice constant within the strained silicon epitaxial layer may be greater than 5.461, or greater than 5.482, or greater than 5.493, or greater than 5.515 at a temperature of 300K. As such, electrons in the strained silicon epitaxial layer may have enhanced mobility compared to a relaxed silicon layer. Consequently, the FET based on the active layer 24, which is formed from the strained silicon epitaxial layer, may have a faster switching speed compared to an FET form from the relaxed silicon layer.

The contact layer 26 is formed underneath the active layer 24 and includes a gate structure 34, a source contact 36, a drain contact 38, and a gate contact 40. The gate structure 34 may be formed of silicon oxide, and extends horizontally underneath the channel 32 (i.e., from underneath the source 28 to underneath the drain 30). The source contact 36 is connected to and under the source 28, the drain contact 38 is connected to and under the drain 30, and the gate contact 40 is connected to and under the gate structure 34. An insulating material 42 may be formed around the source contact 36, the drain contact 38, the gate structure 34, and the gate contact 40 to electrically separate the source 28, the drain 30, and the gate structure 34. In different applications, the FEOL portion 20 may have different FET configurations or provide different device components, such as a diode, a capacitor, a resistor, and/or an inductor.

In addition, the FEOL portion 20 also includes isolation sections 44, which reside over the insulating material 42 of the contact layer 26 and surround the active layer 24. The isolation sections 44 are configured to electrically separate the RF device 10, especially the active layer 24, from other devices formed in a common wafer (not shown). Herein, the isolation sections 44 may extend from a top surface of the contact layer 26 and vertically beyond a top surface of the active layer 24 to define an opening 46 that is within the isolation sections 44 and over the active layer 24. The isolation sections 44 may be formed of silicon dioxide, which may be resistant to etching chemistries such as tetramethylammonium hydroxide (TMAH), xenon difluoride (XeF2), potassium hydroxide (KOH), sodium hydroxide (NaOH), or acetylcholine (ACH), and may be resistant to a dry etching system, such as a reactive ion etching (RIE) system with a chlorine-based gas chemistry. The first mold compound 16 may be formed of thermoplastics or thermoset polymer materials, such as polyphenylene sulfide (PPS), overmold epoxies doped with boron nitride, alumina, carbon nanotubes, or diamond-like thermal additives, or the like.

In some applications, the RF device 10 may further include a passivation layer 48, which may be formed of silicon dioxide, silicon nitride, or a combination of both, over the top surface of the active layer 24 and within the opening 46. As such, the first mold compound 16 is directly over the passivation layer 48. The passivation layer 48 is configured to terminate surface bonds of the active layer 24, which may be responsible for unwanted leakage. The passivation layer 48 may also serve as a barrier and is configured to protect the active layer 24 from moisture or ionic contamination. In some applications, the RF device 10 may further include an interfacial layer and/or a buffer structure (not shown), which are formed of SiGe, over the top surface of the active layer 24 (described in the following paragraphs and not shown herein). If the passivation layer 48, the buffer structure, and the interfacial layer exist, the interfacial layer and the buffer structure are vertically between the active layer 24 and the passivation layer 48, and the first mold compound 16 is over the passivation layer 48. If the passivation layer 48 is omitted, and the buffer structure and/or the interfacial layer exist, the interfacial layer and/or the buffer structure are vertically between the active layer 24 and the first mold compound 16. If the passivation layer 48, the buffer structure, and the interfacial layer are omitted, the first mold compound 16 may be in contact with the top surface of the active layer 24. Notice that, regardless of the presence of the passivation layer 48 or the interfacial layer, silicon crystal, which has no germanium, nitrogen, or oxygen content, does not exist between the first mold compound 16 and the top surface of the active layer 24. Both the passivation layer 48 and the interfacial layer are silicon composite.

Further, in some applications, a top surface of each isolation section 44 and the top surface of the active layer 24 may be coplanar (not shown), and the opening 46 is omitted. The first mold compound 16 resides over both the active layer 24 and the isolation sections 44 of the FEOL portion 20. Note that the active layer 24 never extends vertically beyond the isolation sections 44, otherwise the isolation sections 44 may not fully separate the active layer 24 from other devices formed from the same wafer.

The BEOL portion 22 is underneath the FEOL portion 20 and includes multiple connecting layers 50 formed within dielectric layers 52. Some of the connecting layers 50 (for internal connection) are encapsulated by the dielectric layers 52 (not shown), while some of the connecting layers 50 have a bottom portion not covered by the dielectric layers 52. Certain connecting layers 50 are electrically connected to the FEOL portion 20. For the purpose of this illustration, one of the connecting layers 50 is connected to the source contact 36, and another connecting layer 50 is connected to the drain contact 38.

The multilayer redistribution structure 18, which is formed underneath the BEOL portion 22 of the mold device die 12, includes a number of redistribution interconnections 54, a dielectric pattern 56, and a number of bump structures 58. Herein, each redistribution interconnection 54 is connected to a corresponding connecting layer 50 within the BEOL portion 22 and extends over a bottom surface of the BEOL portion 22. The connections between the redistribution interconnections 54 and the connecting layers 50 are solder-free. The dielectric pattern 56 is formed around and underneath each redistribution interconnection 54. Some of the redistribution interconnections 54 (connect the mold device die 12 to other device components formed from the same wafer) may be encapsulated by the dielectric pattern 56 (not shown), while some of the redistribution interconnections 54 have a bottom portion exposed through the dielectric pattern 56. Each bump structure 58 is formed at a bottom surface of the multilayer redistribution structure 18 and electrically coupled to a corresponding redistribution interconnection 54 through the dielectric pattern 56. As such, the redistribution interconnections 54 are configured to connect the bump structures 58 to certain ones of the connecting layers 50 in the BEOL portion 22, which are electrically connected to the FEOL portion 20. Consequently, the bump structures 58 are electrically connected to the FEOL portion 20 via corresponding redistribution interconnections 54 and corresponding connecting layers 50. In addition, the bump structures 58 are separate from each other and protrude from the dielectric pattern 56.

In some applications, there may be extra redistribution interconnections (not shown) electrically coupled to the redistribution interconnections 54 through the dielectric pattern 56, and extra dielectric patterns (not shown) formed underneath the dielectric pattern 56, such that a bottom portion of some extra redistribution interconnections may be exposed. Consequently, each bump structure 58 is coupled to a corresponding extra redistribution interconnection through the extra dielectric pattern (not shown). Regardless of the level numbers of the redistribution interconnections and/or the dielectric pattern, the multilayer redistribution structure 18 may be free of glass fiber or glass-free. Herein, the glass fiber refers to individual glass strands twisted to become a larger grouping. These glass strands may then be woven into a fabric. The redistribution interconnections 54 may be formed of copper or other suitable metals. The dielectric pattern 56 may be formed of benzocyclobutene (BCB), polyimide, or other dielectric materials. The bump structures 58 may be solder balls or copper pillars. The multilayer redistribution structure 18 has a thickness between 2 μm and 300 μm.

The heat generated in the device region 14 may travel upward to a bottom portion of the first mold compound 16, which is over the active layer 24, and then will pass downward through the device region 14 and toward the multilayer redistribution structure 18, which will dissipate the heat. It is therefore highly desirable for the first mold compound 16 to have a high thermal conductivity, especially for a portion next to the active layer 24. The first mold compound 16 may have a thermal conductivity between 1 W/m·K and 100 W/m·K, or between 7 W/m·K and 20 W/m·K. In addition, the first mold compound 16 may have a low dielectric constant less than 8, or between 3 and 5 to yield low RF coupling. A thickness of the first mold compound 16 is based on the required thermal performance of the RF device 10, the device layout, the distance from the multilayer redistribution structure 18, as well as the specifics of the package and assembly. The first mold compound 16 may have a thickness between 200 μm and 500 μm.

FIG. 5 shows an alternative RF device 10A, which further includes a second mold compound 60 compared to the RF device 10 shown in FIG. 4. Herein, the multilayer redistribution structure 18 may extend horizontally beyond the mold device die 12, and the second mold compound 60 resides over the multilayer redistribution structure 18 to encapsulate the mold device die 12. In this embodiment, the redistribution interconnections 54 of the multilayer redistribution structure 18 may extend horizontally beyond the mold device die 12, and the bump structures 58 of the multilayer redistribution structure 18 may not be confined within a periphery of the mold device die 12. The second mold compound 60 may be formed of a same or different material as the first mold compound 16. Unlike the first mold compound 16, the second mold compound 60 may not have thermal conductivity or dielectric constant requirements.

FIGS. 6-17 provide an exemplary wafer-level fabricating and packaging process that illustrates steps to fabricate the exemplary RF device 10 shown in FIG. 4. Although the exemplary steps are illustrated in a series, the exemplary steps are not necessarily order dependent. Some steps may be done in a different order than that presented. Further, processes within the scope of this disclosure may include fewer or more steps than those illustrated in FIGS. 6-17.

Initially, a starting wafer 62 is provided as illustrated in FIG. 6. The starting wafer 62 includes a common strained silicon epitaxial layer 64, a common interfacial layer 66 over the common strained silicon epitaxial layer 64, and a silicon handle substrate 68 over the common interfacial layer 66. Herein, the silicon handle substrate 68 may consist of conventional low cost, low resistivity, and high dielectric constant silicon, which may have a lattice constant about 5.431 at a temperature of 300K. The common interfacial layer 66 is formed of SiGe. For relaxed Si1-xGex, its lattice constant depends on the germanium concentration, such as (5.431+0.2x+0.027x2) Å at a temperature of 300K, and therefore greater than the lattice constant in the silicon handle substrate 68.

In one embodiment, a common buffer structure 70 may be formed between the silicon handle substrate 68 and the common interfacial layer 66, which allows lattice constant transition from the silicon handle substrate 68 to the common interfacial layer 66. The common buffer structure 70 may include multiple layers and may be formed of SiGe with a vertically graded germanium concentration. The germanium concentration within the common buffer structure 70 may increase from 0% at a top side (next to the silicon handle substrate 68) to X % at a bottom side (next to the common interfacial layer 66). The X % may depend on the germanium concentration within the common interfacial layer 66, such as 15%, or 25%, or 30%, or 40%. The common interfacial layer 66, which herein is grown over the common buffer structure 70 may keep its lattice constant in relaxed form, and may not be strained (reduced) to match the lattice constant of the silicon handle substrate 68. The germanium concentration may be uniform throughout the common interfacial layer 66 and greater than 15%, 25%, 30%, or 40%, such that the lattice constant of relaxed SiGe in the common interfacial layer 66 is greater than 5.461, or greater than 5.482, or greater than 5.493, or greater than 5.515 at a temperature of 300K.

The common strained silicon epitaxial layer 64 may be formed from a device grade silicon material, which has desired silicon epitaxy characteristics to form electronic devices. Herein, the common strained silicon epitaxial layer 64 is grown directly over the common interfacial layer 66, such that the common strained silicon epitaxial layer 64 has a lattice constant matching (stretching as) the lattice constant in the underlying common interfacial layer 66 (relaxed SiGe). Consequently, the lattice constant in the common strained silicon epitaxial layer 64 may be greater than 5.461, or greater than 5.482, or greater than 5.493, or greater than 5.515 at a temperature of 300K, and therefore greater than a lattice constant in a relaxed silicon epitaxial layer (e.g., 5.431 at a temperature of 300K). The common strained silicon epitaxial layer 64 may have significantly higher electron mobility than the relaxed silicon epitaxial layer. A thickness of the common strained silicon epitaxial layer 64 may be between 700 nm and 2000 nm, a thickness of the common interfacial layer 66 may be between 200 Å and 600 Å, a thickness of the common buffer structure 70 may be between 10 Å and 5000 Å, and a thickness of the silicon handle substrate 68 may be between 200 μm and 700 μm.

In another embodiment, the common interfacial layer 66 may be formed directly over the silicon handle substrate 68, and the common buffer structure 70 may be formed between the common interfacial layer 66 and the common strained silicon epitaxial layer 64 (not shown). Herein, the lattice constant of the common interfacial layer 66 may be strained (reduced) by the silicon handle substrate 68. The common buffer structure 70 may still be formed of SiGe with a vertically graded germanium concentration. The germanium concentration within the common buffer structure 70 may increase from 0% at a top side (next to the common interfacial layer 66) to X % at a bottom side (next to the common strained silicon epitaxial layer 64). The X % may be 15%, or 25%, or 30%, or 40%. The lattice constant at the bottom side of the common buffer structure 70 is greater than a lattice constant at the top side of the common buffer structure 70. The common strained silicon epitaxial layer 64, which herein is grown over the common buffer structure 70, has a lattice constant matching (stretching as) the lattice constant at the bottom side of the common buffer structure 70. Consequently, the lattice constant in the common strained silicon epitaxial layer 64 is greater than the lattice constant in a relaxed silicon epitaxial layer (e.g., 5.431 at a temperature of 300K).

Next, a complementary metal-oxide-semiconductor (CMOS) process is performed on the starting wafer 62 to provide a precursor wafer 72 with a number of the device regions 14, as illustrated in FIG. 7A. For the purpose of this illustration, the FEOL portion 20 of each device region 14 is configured to provide a switch FET. In different applications, the FEOL portion 20 may have different FET configurations or provide different device components, such as a diode, a capacitor, a resistor, and/or an inductor.

In one embodiment, the isolation sections 44 of each device region 14 extend through the common strained silicon epitaxial layer 64, the common interfacial layer 66, and the common buffer structure 70, and extend into the silicon handle substrate 68. As such, the common buffer structure 70 is separated into a number of individual buffer structures 70I, the common interfacial layer 66 is separated into a number of individual interfacial layers 66I, and the common strained silicon epitaxial layer 64 is separated into a number of individual strained silicon epitaxial layers 64I. Each individual strained silicon epitaxial layer 64I is used to form a corresponding active layer 24 in one device region 14. The isolation sections 44 may be formed by shallow trench isolation (STI). Herein, since the active layer 24 is formed from one individual strained silicon epitaxial layer 64I, in which the lattice constant is greater than in a relaxed silicon epitaxial layer, the FET based on the active layer 24 may have a faster switching speed (lower ON-resistance) than a FET based on the relaxed/conventional silicon epitaxial layer.

The top surface of the active layer 24 is in contact with a corresponding interfacial layer 66I, which is underneath a corresponding buffer structure 70I. The silicon handle substrate 68 resides over each individual buffer structure 70I, and portions of the silicon handle substrate 68 may reside over the isolation sections 44. The BEOL portion 22 of the device region 14, which includes at least the multiple connecting layers 50 and the dielectric layers 52, is formed under the contact layer 26 of the FEOL portion 20. Bottom portions of certain connecting layers 50 are exposed through the dielectric layers 52 at the bottom surface of the BEOL portion 22.

In another embodiment, the isolation sections 44 may not extend into the silicon handle substrate 68. Instead, the isolation sections 44 may only extend through the common strained silicon epitaxial layer 64 and extend into the common interfacial layer 66, as illustrated in FIG. 7B. Herein, the common interfacial layer 66 remains continuous, and resides over the top surface of each active layer 24 and a top surface of each isolation section 44. The common buffer structure 70 and the silicon handle substrate 68 remain intact. In addition, the isolation sections 44 may extend through the common strained silicon epitaxial layer 64 and the common interfacial layer 66, and extend into the common buffer structure 70 (now shown). The common buffer structure 70 remains continuous and resides over each individual interfacial layer 66I and each isolation section 44. The silicon handle substrate 68 remains over the common buffer structure 70. Further, the isolation sections 44 may extend through the common strained silicon epitaxial layer 64 but do not extend into the common interfacial layer 66 (not shown). The top surface of each isolation section 44 and the top surface of each active layer 24 may be coplanar (not shown). The common interfacial layer 66, the common buffer structure 70, and the silicon handle substrate 68 remain intact. The common interfacial layer 66 is over each isolation section 44 and each active layer 24, the common buffer structure 70 remains over the common interfacial layer 66, and the silicon handle substrate 68 remains over the common buffer structure 70.

After the precursor wafer 72 is completed, the precursor wafer 72 is then bonded to a temporary carrier 74, as illustrated in FIG. 8. The precursor wafer 72 may be bonded to the temporary carrier 74 via a bonding layer 76, which provides a planarized surface to the temporary carrier 74. The temporary carrier 74 may be a thick silicon wafer from a cost and thermal expansion point of view, but may also be constructed of glass, sapphire, or any other suitable carrier material. The bonding layer 76 may be a span-on polymeric adhesive film, such as the Brewer Science WaferBOND line of temporary adhesive materials.

The silicon handle substrate 68 is then selectively removed to provide an etched wafer 78, as illustrated in FIG. 9. The selective removal stops at each individual buffer structure 70I or at each interfacial layer 66I (not shown). If the isolation sections 44 extend vertically beyond each individual buffer structure 70I, the removal of the silicon handle substrate 68 will provide the opening 46 over each active layer 24 and within the isolation sections 44. Removing the silicon handle substrate 68 may be provided by a mechanical grinding process and an etching process, or provided by the etching process itself. As an example, the silicon handle substrate 68 may be ground to a thinner thickness to reduce the following etching time. An etching process is then performed to at least completely remove the remaining silicon handle substrate 68. Since the silicon handle substrate 68, the individual buffer structure 70I, and the individual interfacial layer 66I have different germanium concentrations, they may have different reactions to a same etching technique (for instance: different etching speeds with a same etchant). Consequently, the etching system may be capable of identifying the presence of the individual buffer structures 70I or the individual interfacial layers 66I (presence of germanium), and capable of indicating when to stop the etching process. Typically, the higher the germanium concentration, the better the etching selectivity between the silicon handle substrate 68 and the individual buffer structures 70I (or between the silicon handle substrate 68 and the individual interfacial layers 66I). The etching process may be provided by a wet etching system with an etchant chemistry, which is at least one of TMAH, KOH, NaOH, ACH, and XeF2, or a dry etching system, such as a reactive ion etching system with a chlorine-based gas chemistry.

During the removal process, the isolation sections 44 are not removed and protect sides of each FEOL portion 20. The bonding layer 76 and the temporary carrier 74 protect the bottom surface of each BEOL portion 22. Herein, the top surface of each isolation section 44 and the top surface of each individual buffer structure 70I (or each individual interfacial layer 66I) are exposed after the removal step. If the isolation sections 44 only extend into the common buffer structure 70, or only extend into the common interfacial layer 66, or the top surface of each isolation section 44 and the top surface of each active layer 24 are coplanar, only the top surface of the common buffer structure 70 or the common interfacial layer 66 may be exposed (not shown).

Due to the narrow gap nature of the SiGe material, it is possible that the individual buffer structures 70I and/or the individual interfacial layers 66I may be conducting (for some type of devices). The individual buffer structures 70I and/or the individual interfacial layers 66I may cause appreciable leakage between the source 28 and the drain 30 of the active layer 24. Therefore, in some applications, such as FET switch applications, it is desirable to also remove the individual buffer structures 70I and the individual interfacial layers 66I, as illustrated in FIG. 10. Each active layer 24 is exposed at a bottom of a corresponding opening 46, if there is one opening 46 over each active layer 24. The individual buffer structures 70I and the individual interfacial layers 66I may be removed by the same etching process used to remove the silicon handle substrate 68, or may be removed by another etching process, such as chlorine-based dry etch systems. Herein, if each individual interfacial layer 66I is thin enough, it may not cause any appreciable leakage between the source 28 and the drain 30 of the FEOL portion 20. In that case, the individual interfacial layers 66I may be left (not shown). Similarly, if both the individual interfacial layer 66I and the individual buffer structure 70I are thin enough, they may not cause any appreciable leakage between the source 28 and the drain 30 of the FEOL portion 20. Such that, the individual interfacial layers 66I and the individual buffer structures 70I may be left (not shown).

In some applications, after the removal of the silicon handle substrate 68, the individual buffer structures 70I, and the individual interfacial layers 66I, the active layer 24 may be passivated to achieve proper low levels of current leakage in the device. The passivation layer 48 may be formed directly over each active layer 24 of each FEOL portion 20, as illustrated in FIG. 11. The passivation layer 48 may be formed of silicon dioxide, silicon nitride, or a combination of both by chemical vapor deposition (CVD) dielectric filming or passivating plasma. If there is the opening 46 over each active layer 24 and within the isolation sections 44, the passivation layer 48 is formed within the opening 46. The passivation layer 48 is configured to terminate the surface bonds at the top surface of the active layer 24, which may be responsible for unwanted leakage.

Next, the first mold compound 16 is applied over the etched wafer 78 to provide a mold device wafer 80, as illustrated in FIG. 12. The mold device wafer 80 includes a number of the mold device dies 12, each of which includes the device region 14, and a portion of the first mold compound 16. Herein, the first mold compound 16 fills each opening 46 and is in contact with the passivation layer 48 within the opening 46. In addition, portions of the first mold compound 16 may extend over the isolation sections 44. If there is no passivation layer 48 formed in each opening 46, the first mold compound 16 may be in contact with the top surface of each active layer 24 (not shown). If each individual interfacial layer 66I remains over the top surface of each active layer 24, the first mold compound 16 may be in contact with the individual interfacial layers 66I (not shown). If both the individual interfacial layer 66I and the individual buffer structure 70I remain over the top surface of each active layer 24, the first mold compound 16 may be in contact with the individual buffer structures 70I (not shown). The first mold compound 16 always resides over each active layer 24. Notice that, regardless of the presence of the passivation layer 48 or the individual interfacial layer 66I, silicon crystal, which has no germanium, nitrogen, or oxygen content, does not exist between the first mold compound 16 and the top surface of each active layer 24. Both the passivation layer 48 and the individual interfacial layer 66I are silicon composite.

The first mold compound 16 may be applied by various procedures, such as compression molding, sheet molding, overmolding, transfer molding, dam fill encapsulation, and screen print encapsulation. The first mold compound 16 may have a thermal conductivity between 1 W/m·K and 100 W/m·K, or between 7 W/m·K and 20 W/m·K. The first mold compound 16 may have a dielectric constant less than 8, or between 3 and 5. During the molding process of the first mold compound 16, the temporary carrier 74 provides mechanical strength and rigidity to the etched wafer 78. A curing process (not shown) is then performed to harden the first mold compound 16. The curing temperature is between 100° C. and 320° C. depending on which material is used as the first mold compound 16. After the curing process, the first mold compound 16 may be thinned and/or planarized (not shown).

The temporary carrier 74 is then debonded from the mold device wafer 80, and the bonding layer 76 is cleaned from the mold device wafer 80, as illustrated in FIG. 13. A number of debonding processes and cleaning processes may be applied depending on the nature of the temporary carrier 74 and the bonding layer 76 chosen in the earlier steps. For instance, the temporary carrier 74 may be mechanically debonded using a lateral blade process with the stack heated to a proper temperature. Other suitable processes involve radiation of UV light through the temporary carrier 74 if it is formed of a transparent material, or chemical debonding using a proper solvent. The bonding layer 76 may be eliminated by wet or dry etching processes, such as proprietary solvents and plasma washing. After the debonding and cleaning process, the bottom portions of certain ones of the connecting layers 50, which may function as input/output (I/O) ports of the mold device die 12, are exposed through the dielectric layers 52 at the bottom surface of each BEOL portion 22. As such, each mold device die 12 in the mold device wafer 80 may be electrically verified to be working properly at this point.

With reference to FIGS. 14 through 16, the multilayer redistribution structure 18 is formed underneath the mold device wafer 80 according to one embodiment of the present disclosure. Although the redistribution steps are illustrated in a series, the redistribution steps are not necessarily order dependent. Some steps may be done in a different order than that presented. Further, redistribution steps within the scope of this disclosure may include fewer or more steps than those illustrated in FIGS. 14-16.

A number of the redistribution interconnections 54 are firstly formed underneath each BEOL portion 22, as illustrated in FIG. 14. Each redistribution interconnection 54 is electrically coupled to the exposed bottom portion of the corresponding connecting layer 50 within the BEOL portion 22, and may extend over the bottom surface of the BEOL portion 22. The connections between the redistribution interconnections 54 and the connecting layers 50 are solder-free. The dielectric pattern 56 is then formed underneath each BEOL portion 22 to partially encapsulate each redistribution interconnection 54, as illustrated in FIG. 15. As such, the bottom portion of each redistribution interconnection 54 is exposed through the dielectric pattern 56. In different applications, there may be extra redistribution interconnections (not shown) electrically coupled to the redistribution interconnection 54 through the dielectric pattern 56, and extra dielectric patterns (not shown) formed underneath the dielectric pattern 56, such that a bottom portion of each extra redistribution interconnection is exposed.

Next, a number of the bump structure 58 are formed to complete the multilayer redistribution structure 18 and provide a wafer-level fan-out (WLFO) package 82, as illustrated in FIG. 16. Each bump structure 58 is formed at the bottom of the multilayer redistribution structure 18 and electrically coupled to an exposed bottom portion of the corresponding redistribution interconnection 54 through the dielectric pattern 56. Consequently, the redistribution interconnections 54 are configured to connect the bump structures 58 to certain ones of the connecting layer 50 in the BEOL portion 22, which are electrically connected to the FEOL portion 20. As such, the bump structures 58 are electrically connected to the FEOL portion 20 via corresponding redistribution interconnections 54 and corresponding connecting layers 50. In addition, the bump structures 58 are separate from each other and protrude vertically from the dielectric pattern 56.

The multilayer redistribution structure 18 may be free of glass fiber or glass-free. Herein, the glass fiber refers to individual glass strands twisted to become a larger grouping. These glass strands may then be woven into a fabric. The redistribution interconnections 54 may be formed of copper or other suitable metals, the dielectric pattern 56 may be formed of BCB, polyimide, or other dielectric materials, and the bump structures 58 may be solder balls or copper pillars. The multilayer redistribution structure 18 has a thickness between 2 μm and 300 μm. FIG. 17 shows a final step to singulate the WLFO package 82 into individual RF devices 10. The singulating step may be provided by a probing and dicing process at certain isolation sections 44.

In another embodiment, FIGS. 18-23 provide an alternative process that illustrates steps to fabricate the alternative RF device 10A shown in FIG. 5. Although the exemplary steps are illustrated in a series, the exemplary steps are not necessarily order dependent. Some steps may be done in a different order than that presented. Further, processes within the scope of this disclosure may include fewer or more steps than those illustrated in FIGS. 18-23.

After the debonding and cleaning process to provide the clean mold device wafer 80 as shown in FIG. 13, a singulating step is performed to singulate the mold device wafer 80 into individual mold device dies 12, as illustrated in FIG. 18. This singulating step may be provided by a probing and dicing process at certain isolation sections 44. Herein, each mold device die 12 may have a same height and includes the device region 14 with the FEOL portion 20 and the BEOL portion 22 and the first mold compound 16.

Next, the second mold compound 60 is applied around and over the mold device dies 12 to provide a double mold device wafer 84, as illustrated in FIG. 19. The second mold compound 60 encapsulates a top surface and side surfaces of each mold device die 12, while a bottom surface of each mold device die 12, which is the bottom surface of the BEOL portion 22, is exposed. A bottom surface of the double mold device wafer 84 is a combination of the bottom surface of each mold device die 12 and a bottom surface of the second mold compound 60. Herein, the bottom portions of certain ones of the connecting layers 50 remain exposed at the bottom surface of each mold device die 12. The second mold compound 60 may be applied by various procedures, such as sheet molding, overmolding, compression molding, transfer molding, dam fill encapsulation, or screen print encapsulation. The second mold compound 60 may be formed of the same or different material as the first mold compound 16. However, unlike the first mold compound 16, the second mold compound 60 does not have thermal conductivity or electrical resistivity requirements. The second mold compound 60 may be an organic epoxy resin system or the like. A curing process (not shown) is then used to harden the second mold compound 60. The curing temperature is between 100° C. and 320° C. depending on which material is used as the second mold compound 60. A grinding process (not shown) may be performed to provide a planarized top surface of the second mold compound 60.

With reference to FIGS. 20 through 22, the multilayer redistribution structure 18 is formed according to one embodiment of the present disclosure. Although the redistribution steps are illustrated in a series, the redistribution steps are not necessarily order dependent. Some steps may be done in a different order than that presented. Further, redistribution steps within the scope of this disclosure may include fewer or more steps than those illustrated in FIGS. 20-22.

A number of the redistribution interconnections 54 are firstly formed underneath the double mold device wafer 84, as illustrated in FIG. 20. Each redistribution interconnection 54 is electrically coupled to the corresponding connecting layer 50 within the BEOL portion 22, and may extend horizontally beyond the corresponding mold device die 12 and underneath the second mold compound 60. The connections between the redistribution interconnections 54 and the connecting layers 50 are solder-free. The dielectric pattern 56 is then formed underneath the double mold device wafer 84 to partially encapsulate each redistribution interconnection 54, as illustrated in FIG. 21. As such, the bottom portion of each redistribution interconnection 54 is exposed through the dielectric pattern 56. In different applications, there may be extra redistribution interconnections (not shown) electrically coupled to the redistribution interconnection 54 through the dielectric pattern 56, and extra dielectric patterns (not shown) formed underneath the dielectric pattern 56, such that a bottom portion of each extra redistribution interconnection is exposed.

Next, a number of the bump structures 58 are formed to complete the multilayer redistribution structure 18 and provide an alternative WLFO package 82A, as illustrated in FIG. 22. Each bump structure 58 is formed at the bottom of the multilayer redistribution structure 18 and electrically coupled to an exposed bottom portion of the corresponding redistribution interconnection 54 through the dielectric pattern 56. Consequently, the redistribution interconnections 54 are configured to connect the bump structures 58 to certain ones of the connecting layers 50 in the BEOL portion 22, which are electrically connected to the FEOL portion 20. As such, the bump structures 58 are electrically connected to the FEOL portion 20 via corresponding redistribution interconnections 54 and corresponding connecting layers 50. Herein, the bump structures 58 may not be confined within a periphery of a corresponding mold device die 12. In addition, the bump structures 58 are separate from each other and protrude vertically from the dielectric pattern 56.

FIG. 23 shows a final step to singulate the alternative WLFO package 82A into individual alternative RF devices 10A. The singulating step may be provided by a probing and dicing process at portions of the second mold compound 60, which are horizontally between adjacent mold device dies 12.

Those skilled in the art will recognize improvements and modifications to the preferred embodiments of the present disclosure. All such improvements and modifications are considered within the scope of the concepts disclosed herein and the claims that follow.

Costa, Julio C., Carroll, Michael

Patent Priority Assignee Title
Patent Priority Assignee Title
10134837, Jun 30 2017 Qualcomm Incorporated Porous silicon post processing
10727212, Mar 15 2018 SAMSUNG ELECTRONICS CO , LTD Semiconductor package
10784348, Mar 23 2017 Qualcomm Incorporated Porous semiconductor handle substrate
10882740, May 20 2016 Qorvo US, Inc. Wafer-level package with enhanced performance and manufacturing method thereof
4093562, Feb 20 1976 Matsushita Electric Industrial Co., Ltd. Polymeric compositions for manufacture of secondary electron multiplier tubes and method for manufacture thereof
4366202, Jun 19 1981 Kimberly-Clark Worldwide, Inc Ceramic/organic web
5013681, Sep 29 1989 The United States of America as represented by the Secretary of the Navy; UNITED STATES OF AMERICA, THE, AS REPRESENTED BY THE SECRETARY OF THE NAVY Method of producing a thin silicon-on-insulator layer
5061663, Sep 04 1986 E. I. du Pont de Nemours and Company AlN and AlN-containing composites
5069626, Jul 01 1987 Western Digital Corporation Plated plastic castellated interconnect for electrical components
5164687, Jun 17 1991 Renaissance Electronics Corp. Compact lumped constant non-reciprocal circuit element
5294295, Oct 31 1991 CALLAHAN CELLULAR L L C Method for moisture sealing integrated circuits using silicon nitride spacer protection of oxide passivation edges
5362972, Apr 20 1990 Hitachi, LTD; Hitachi VLSI Engineering Semiconductor device using whiskers
5391257, Dec 10 1993 Skyworks Solutions, Inc Method of transferring a thin film to an alternate substrate
5459368, Aug 06 1993 Matsushita Electric Industrial Co., Ltd. Surface acoustic wave device mounted module
5646432, May 14 1992 Seiko Instruments Inc Semiconductor thin film formed on a supporting substrate
5648013, Dec 24 1992 Canon Kabushiki Kaisha Plastic additive, plastic composition containing the additive and plastic molding containing the additive
5699027, Mar 28 1995 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Surface acoustic wave devices having a guard layer
5709960, Jun 21 1996 Freescale Semiconductor, Inc Mold compound
5729075, Jun 12 1995 National Semiconductor Corporation Tuneable microelectromechanical system resonator
5831369, Nov 04 1996 Siemens Matsushita Components GmbH & Co KG Encapsulation for electronic components and method for producing the encapsulation
5920142, Mar 08 1996 SKYWORKS FILTER SOLUTIONS JAPAN CO , LTD Electronic part and a method of production thereof
6072557, Jul 31 1998 Sharp Kabushiki Kaisha Color liquid crystal display apparatus and method for producing the same
6084284, Nov 18 1994 Integrated circuit including inverted dielectric isolation
6154366, Nov 23 1999 Intel Corporation Structures and processes for fabricating moisture resistant chip-on-flex packages
6154372, Sep 02 1993 Tyco Electronic Logistics AG Multichip module for surface mounting on printed circuit boards
6235554, Nov 27 1995 Round Rock Research, LLC Method for fabricating stackable chip scale semiconductor package
6236061, Jan 08 1999 Semiconductor crystallization on composite polymer substrates
6268654, Apr 18 1997 AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD Integrated circuit package having adhesive bead supporting planar lid above planar substrate
6271469, Nov 12 1999 Intel Corporation Direct build-up layer on an encapsulated die package
6377112, Dec 05 2000 DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT Circuit and method for PMOS device N-well bias control
6423570, Oct 18 2000 Intel Corporation Method to protect an encapsulated die package during back grinding with a solder metallization layer and devices formed thereby
6426559, Jun 29 2000 National Semiconductor Corporation Miniature 3D multi-chip module
6441498, Dec 02 1997 HYUNDAI ELECTRONICS INDUSTRIES CO , LTD Semiconductor substrate and land grid array semiconductor package using same
6446316, May 02 1994 Siemens Matsushita Components GmbH & Co. KG Method for producing an encapsulation for a SAW component operating with surface acoustic waves
6578458, Nov 12 1996 Micron Technology, Inc. Method for sawing wafers employing multiple indexing techniques for multiple die dimensions
6649012, Dec 17 1999 Polymatech Co., Ltd. Adhesion method and electronic component
6703688, Mar 02 2001 Taiwan Semiconductor Manufacturing Company, Ltd Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
6713859, Sep 13 2000 Intel Corporation Direct build-up layer on an encapsulated die package having a moisture barrier structure
6841413, Jan 07 2002 Intel Corporation Thinned die integrated circuit package
6864156, Apr 04 2003 XILINX, Inc. Semiconductor wafer with well contacts on back side
6902950, Oct 18 2000 Intel Corporation Method to protect an encapsulated die package during back grinding with a solder metallization layer and devices formed thereby
6943429, Mar 08 2001 AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD Wafer having alignment marks extending from a first to a second surface of the wafer
6964889, Oct 18 2000 Intel Corporation Method to protect an encapsulated die package during back grinding with a solder metallization layer and devices formed thereby
6992400, Jan 30 2004 Nokia Corporation; Epcos AG Encapsulated electronics device with improved heat dissipation
7042072, Aug 02 2002 AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD Semiconductor package and method of manufacturing the same which reduces warpage
7049692, Mar 11 2003 SOCIONEXT INC Stacked semiconductor device
7064391, Apr 04 2003 XILINX, Inc. Bond and back side etchback transistor fabrication process
7109635, Jun 11 2003 TRIQUINT, INC Wafer level packaging of materials with different coefficients of thermal expansion
7183172, May 22 2002 Samsung Electronics Co., Ltd. Method of forming silicon-on-insulator (SOI) semiconductor substrate and SOI semiconductor substrate formed thereby
7190064, Feb 04 2002 AOI ELECTRONICS CO , LTD Semiconductor device and method of manufacturing the same
7238560, Jul 23 2004 Cree, Inc Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
7279750, Mar 31 2004 CMK Corporation Semiconductor device incorporating a semiconductor constructing body and an interconnecting layer which is connected to a ground layer via a vertical conducting portion
7288435, Feb 19 2002 CHEMTRON RESEARCH LLC Method for producing a cover, method for producing a packaged device
7307003, Dec 31 2002 Massachusetts Institute of Technology Method of forming a multi-layer semiconductor structure incorporating a processing handle member
7393770, May 19 2005 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Backside method for fabricating semiconductor components with conductive interconnects
7402901, Mar 16 1920 Sony Corporation Semiconductor device and method of manufacturing semiconductor device
7427824, Jun 16 2005 Murata Manufacturing Co., Ltd. Piezoelectric device and method for producing same
7489032, Dec 25 2003 CMK Corporation Semiconductor device including a hard sheet to reduce warping of a base plate and method of fabricating the same
7596849, Jun 11 2003 Qorvo US, Inc Method of assembling a wafer-level package filter
7619347, May 24 2005 Qorvo US, Inc Layer acoustic wave device and method of making the same
7635636, Jun 11 2003 TRIQUINT, INC Wafer level packaging of materials with different coefficients of thermal expansion
7714535, Jul 28 2006 Semiconductor Energy Laboratory Co., Ltd. Power storage device
7749882, Aug 23 2006 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Packaged microelectronic devices and methods for manufacturing packaged microelectronic devices
7790543, Jan 11 2008 GLOBALFOUNDRIES Inc Device structures for a metal-oxide-semiconductor field effect transistor and methods of fabricating such device structures
7816231, Aug 29 2006 GLOBALFOUNDRIES Inc Device structures including backside contacts, and methods for forming same
7843072, Aug 12 2008 AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD Semiconductor package having through holes
7855101, May 10 2007 LinkedIn Corporation Layer transfer process and functionally enhanced integrated circuits produced thereby
7868419, Oct 18 2007 Qorvo US, Inc Linearity improvements of semiconductor substrate based radio frequency devices
7910405, Jun 02 2004 Casio Computer Co., Ltd.; CMK Corporation Semiconductor device having adhesion increasing film to prevent peeling
7955955, May 10 2007 GLOBALFOUNDRIES U S INC Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
7960218, Sep 08 2006 Wisconsin Alumni Research Foundation Method for fabricating high-speed thin-film transistors
8004089, Jan 31 2008 Casio Computer Co., Ltd. Semiconductor device having wiring line and manufacturing method thereof
8183151, May 04 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods of forming conductive vias through substrates, and structures and assemblies resulting therefrom
8299633, Dec 21 2009 Advanced Micro Devices, Inc. Semiconductor chip device with solder diffusion protection
8420447, Mar 23 2011 STATS CHIPPAC PTE LTE ; STATS CHIPPAC PTE LTD Integrated circuit packaging system with flipchip leadframe and method of manufacture thereof
8503186, Jul 30 2009 Qualcomm Incorporated System-in packages
8563403, Jun 27 2012 GLOBALFOUNDRIES U S INC Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
8643148, Nov 30 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-on-Wafer structures and methods for forming the same
8658475, Jul 29 2009 Qorvo US, Inc Stacked body-contacted field effect transistor
8664044, Nov 02 2011 STMicroelectronics Pte Ltd.; STMicroelectronics Grenoble 2 SAS; STMicroelectronics Pte Ltd; STMICROELECTRONICS GRENOBLE2 SAS Method of fabricating land grid array semiconductor package
8772853, Jul 12 2011 The Regents of the University of California All graphene flash memory device
8791532, Nov 18 2009 Sensirion AG Sensor mounted in flip-chip technology on a substrate
8802495, Aug 03 2012 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
8803242, Sep 19 2011 Qualcomm Incorporated High mobility enhancement mode FET
8816407, Feb 28 2012 Samsung Electronics Co., Ltd. Semiconductor package
8835978, May 14 2012 Infineon Technologies AG Lateral transistor on polymer
8906755, Jul 24 2013 GLOBALFOUNDRIES U S INC Active matrix using hybrid integrated circuit and bipolar transistor
8921990, Dec 18 2012 Samsung Electronics Co., Ltd. Semiconductor package
8927968, Dec 18 2012 GLOBALFOUNDRIES U S INC Accurate control of distance between suspended semiconductor nanowires and substrate surface
8941248, Mar 13 2013 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device package and method
8963321, Sep 12 2011 Infineon Technologies AG Semiconductor device including cladded base plate
8983399, Jan 07 2009 Sony Corporation Semiconductor device, method of manufacturing the same, in-millimeter-wave dielectric transmission device, method of manufacturing the same, and in-millimeter-wave dielectric transmission system
9064883, Aug 25 2011 Intel Corporation Chip with encapsulated sides and exposed surface
9165793, May 02 2014 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
9214337, Mar 06 2013 Qorvo US, Inc Patterned silicon-on-plastic (SOP) technology and methods of manufacturing the same
9349700, Apr 24 2013 STATS CHIPPAC PTE LTE Semiconductor device and method of forming stress-reduced conductive joint structures
9368429, Oct 25 2011 Intel Corporation Interposer for hermetic sealing of sensor chips and for their integration with integrated circuit chips
9406637, Jul 03 2009 AOI ELECTRONICS CO , LTD Semiconductor construct and manufacturing method thereof as well as semiconductor device and manufacturing method thereof
9461001, Jul 22 2015 Advanced Semiconductor Engineering, Inc. Semiconductor device package integrated with coil for wireless charging and electromagnetic interference shielding, and method of manufacturing the same
9520428, Apr 26 2013 Olympus Corporation Image pickup apparatus
9530709, Nov 03 2014 Qorvo US, Inc Methods of manufacturing a printed circuit module having a semiconductor device with a protective layer in place of a low-resistivity handle layer
9613831, Mar 25 2015 RF Micro Devices, INC Encapsulated dies with enhanced thermal performance
9646856, Aug 25 2011 Intel Corporation Method of manufacturing a semiconductor device including removing a relief layer from back surface of semiconductor chip
9653428, Jan 27 2016 AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD Semiconductor package and fabricating method thereof
9786586, Aug 21 2016 Micron Technology, Inc Semiconductor package and fabrication method thereof
9812350, Mar 06 2013 Qorvo US, Inc Method of manufacture for a silicon-on-plastic semiconductor device with interfacial adhesion layer
9824951, Sep 12 2014 Qorvo US, Inc Printed circuit module having semiconductor device with a polymer substrate and methods of manufacturing the same
9824974, Dec 04 2014 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
9859254, Jun 30 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure and a manufacturing method thereof
9875971, Mar 26 2015 GLOBALFOUNDRIES Singapore Pte. Ltd. Magnetic shielding of MRAM package
9941245, Sep 25 2007 Intel Corporation Integrated circuit packages including high density bump-less build up layers and a lesser density core or coreless substrate
20010004131,
20020070443,
20020074641,
20020127769,
20020127780,
20020137263,
20020185675,
20030207515,
20040021152,
20040164367,
20040166642,
20040219765,
20050037595,
20050077511,
20050079686,
20050212419,
20060057782,
20060099781,
20060105496,
20060108585,
20060228074,
20060261446,
20070020807,
20070045738,
20070069393,
20070075317,
20070121326,
20070158746,
20070181992,
20070190747,
20070194342,
20070252481,
20070276092,
20080050852,
20080050901,
20080164528,
20080251927,
20080265978,
20080272497,
20080277800,
20080315372,
20090008714,
20090010056,
20090014856,
20090090979,
20090179266,
20090243097,
20090261460,
20090302484,
20100003803,
20100012354,
20100029045,
20100045145,
20100081232,
20100081237,
20100109122,
20100120204,
20100127340,
20100173436,
20100200919,
20100314637,
20110003433,
20110026232,
20110036400,
20110062549,
20110068433,
20110102002,
20110171792,
20110227158,
20110272800,
20110272824,
20110294244,
20120003813,
20120045871,
20120068276,
20120094418,
20120098074,
20120104495,
20120119346,
20120153393,
20120168863,
20120256260,
20120292700,
20120299105,
20130001665,
20130015429,
20130049205,
20130099315,
20130105966,
20130147009,
20130155681,
20130196483,
20130200456,
20130221493,
20130241040,
20130280826,
20130299871,
20140015131,
20140035129,
20140134803,
20140168014,
20140197530,
20140210314,
20140219604,
20140252566,
20140252567,
20140264813,
20140264818,
20140306324,
20140323064,
20140327003,
20140327150,
20140346573,
20140356602,
20150015321,
20150021754,
20150097302,
20150108666,
20150115416,
20150130045,
20150136858,
20150197419,
20150235990,
20150235993,
20150243881,
20150255368,
20150262844,
20150279789,
20150311132,
20150364344,
20150380394,
20150380523,
20160002510,
20160056544,
20160079137,
20160093580,
20160100489,
20160126111,
20160126196,
20160133591,
20160155706,
20160284568,
20160284570,
20160343592,
20160343604,
20160347609,
20160362292,
20170024503,
20170032957,
20170033026,
20170053938,
20170062366,
20170077028,
20170098587,
20170190572,
20170200648,
20170207350,
20170263539,
20170271200,
20170323804,
20170323860,
20170334710,
20170358511,
20180019184,
20180019185,
20180044169,
20180044177,
20180047653,
20180076174,
20180138082,
20180138227,
20180145678,
20180166358,
20180261470,
20180269188,
20180342439,
20190013254,
20190013255,
20190043812,
20190057922,
20190074263,
20190074271,
20190172826,
20190172842,
20190189599,
20190229101,
20190287953,
20190304910,
20190304977,
20190312110,
20190326159,
20190378819,
20190378821,
20200006193,
20200058541,
20200115220,
20200118838,
20210348078,
CN101901953,
CN102956468,
CN103811474,
CN103872012,
CN106098609,
CN1696231,
EP1098386,
EP2996143,
JP11220077,
JP2002252376,
JP200293957,
JP2004273604,
JP2004327557,
JP2006005025,
JP2007227439,
JP2008235490,
JP2008279567,
JP2009026880,
JP2009200274,
JP2009302526,
JP2009530823,
JP2011216780,
JP2011243596,
JP2012129419,
JP2012156251,
JP2013162096,
JP2013222745,
JP2013254918,
JP2014509448,
JP505733,
JP5338954,
TW201733056,
WO2007074651,
WO2018083961,
WO2018125242,
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Nov 04 2019CARROLL, MICHAELQorvo US, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0509600058 pdf
Nov 05 2019COSTA, JULIO C Qorvo US, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0509600058 pdf
Nov 08 2019Qorvo US, Inc.(assignment on the face of the patent)
Date Maintenance Fee Events


Date Maintenance Schedule
Jul 12 20254 years fee payment window open
Jan 12 20266 months grace period start (w surcharge)
Jul 12 2026patent expiry (for year 4)
Jul 12 20282 years to revive unintentionally abandoned end. (for year 4)
Jul 12 20298 years fee payment window open
Jan 12 20306 months grace period start (w surcharge)
Jul 12 2030patent expiry (for year 8)
Jul 12 20322 years to revive unintentionally abandoned end. (for year 8)
Jul 12 203312 years fee payment window open
Jan 12 20346 months grace period start (w surcharge)
Jul 12 2034patent expiry (for year 12)
Jul 12 20362 years to revive unintentionally abandoned end. (for year 12)