semiconductor device and the manufacturing method thereof are disclosed herein. An exemplary semiconductor device comprises a substrate; semiconductor layers over the substrate, wherein the semiconductor layers are separate from each other and are stacked up along a direction generally perpendicular to a top surface of the substrate; a dielectric feature over and separate from the semiconductor layers; and a gate structure wrapping around each of the semiconductor layers, the gate structure having a gate dielectric layer and a gate electrode layer, wherein the gate dielectric layer interposes between the gate electrode layer and the dielectric feature and the dielectric feature is disposed over at least a part of the gate electrode layer.
|
1. A method comprising:
forming a stack of semiconductor layers over a substrate, the stack of semiconductor layers including a first semiconductor layer and a second semiconductor layer;
forming a protective dielectric layer directly on the second semiconductor layer, wherein the forming of the protective dielectric layer directly on the second semiconductor layer includes:
forming a hard mask on the protective dielectric layer;
patterning the protective dielectric layer and the stack of semiconductor layers while using the hard mask as a mask; and
removing the hard mask;
forming a first gate dielectric layer directly on the protective dielectric layer;
removing the first gate dielectric layer to expose the protective dielectric layer;
removing a first portion of the second semiconductor layer;
forming a second gate dielectric layer directly on the protective dielectric layer and the first semiconductor layer; and
forming a gate electrode around the second gate dielectric layer.
10. A method comprising:
forming a fin structure on a substrate, the fin structure including a first semiconductor layer, a second semiconductor disposed over the first semiconductor layer and a protective dielectric layer disposed over the second semiconductor layer;
removing a first portion of the second semiconductor layer such that a second portion of the second semiconductor layer remains disposed over the first semiconductor layer after the removing of the first portion of the second semiconductor layer;
forming a first spacer feature along a sidewall of the second portion of the second semiconductor layer;
removing the second portion of the second semiconductor layer to form an air gap between the protective dielectric layer and the first semiconductor layer;
forming a gate structure within the air gap, the gate structure including a first gate dielectric and a first gate electrode, the first gate dielectric interfacing with at least one of the protective dielectric layer and the first semiconductor layer; and
forming a second gate dielectric directly on the protective dielectric layer prior to the removing of the first portion of the second semiconductor layer.
14. A method of forming a semiconductor device, comprising:
receiving a structure including a substrate and a stack of first semiconductor layers and second semiconductor layers alternately disposed over the substrate, wherein a topmost layer of the stack is one of the first semiconductor layers and includes a different material than the second semiconductor layers and the substrate;
forming a protective dielectric layer over the stack, wherein a bottom surface of the protective dielectric layer directly contacts a top surface of the topmost layer of the stack, wherein forming the protective dielectric layer includes:
depositing the protective dielectric layer over the topmost layer of the stack;
depositing a hard mask over the protective dielectric layer;
patterning the hard mask;
etching the protective dielectric layer and the stack using the hard mask as etch mask; and
removing the hard mask;
selectively removing the first semiconductor layers such that the second semiconductor layers and the protective dielectric layer are suspended over the substrate; and
after the selectively removing, forming a metal gate stack wrapping around the second semiconductor layers and the protective dielectric layer.
2. The method of
removing a second portion of the second semiconductor layer such that the first portion of the second semiconductor layer remains after the removing of the second portion of the second semiconductor layer; and
forming a first spacer feature directly on the first portion of the second semiconductor layer.
3. The method of
4. The method of
5. The method of
wherein the forming of the second gate dielectric layer directly on the protective dielectric layer and the first semiconductor layer includes forming the second gate dielectric layer directly on the first spacer feature.
6. The method of
7. The method of
wherein the removing of the first gate dielectric layer to expose the protective dielectric layer includes the top surface of the protective dielectric layer being exposed by the removal of the first gate dielectric layer.
8. The method of
9. The method of
11. The method of
12. The method of
13. The method of
forming an etch stop layer directly on the exposed top surface of the protective dielectric layer; and
forming a contact through the etch stop layer to the first gate electrode of the gate structure.
15. The method of
planarizing the metal gate stack to expose the protective dielectric layer.
16. The method of
recessing a top portion of the metal gate stack;
depositing a conductive material over the recessed metal gate stack and the protective dielectric layer; and
planarizing the conductive material to expose the protective dielectric layer.
17. The method of
depositing an interlayer dielectric layer over the metal gate stack and the protective dielectric layer.
18. The method of
forming a dummy gate structure over the protective dielectric layer and the stack;
forming a gate spacer along a sidewall of the dummy gate structure;
forming an epitaxial source/drain feature connecting to the second semiconductor layers; and
removing the dummy gate structure to expose the protective dielectric layer and the stack.
19. The method of
removing a portion of the protective dielectric layer and the stack to form a trench exposing the substrate;
from the trench, selectively removing portions of the first semiconductor layers to form gaps; and
forming an inner spacer in the gaps.
20. The method of
depositing a gate dielectric layer to wrap around the second semiconductor layers and the protective dielectric layer; and
depositing a gate electrode layer over the gate dielectric layer.
|
The present application is a divisional application of U.S. patent application Ser. No. 16/583,485, filed Sep. 26, 2019, which is herein incorporated by reference in its entirety.
Multi-gate devices have been introduced to improve gate control by increasing gate-channel coupling and reducing off-state current. One such multi-gate device is a gate-all-around (GAA) device. A GAA device generally refers to any device having a gate structure, or portions thereof, formed on more than one side of a channel region (for example, surrounding a portion of the channel region). GAA transistors are compatible with conventional complementary metal-oxide-semiconductor (CMOS) fabrication processes and allow aggressive scaling down of transistors. However, fabrication of GAA devices presents challenges. For example, it has been observed that in a conventional GAA device, the topmost channel semiconductor layer may be damaged or cut off during dummy gate etching. This may cause high resistance or even open channel in the topmost channel semiconductor layer, and therefore degrade the GAA device's performance.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.
In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a feature on, connected to, and/or coupled to another feature in the present disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. In addition, spatially relative terms, for example, “lower,” “upper,” “horizontal,” “vertical,” “above,” “over,” “below,” “beneath,” “up,” “down,” “top,” “bottom,” etc. as well as derivatives thereof (e.g., “horizontally,” “downwardly,” “upwardly,” etc.) are used for ease of the present disclosure of one features relationship to another feature. The spatially relative terms are intended to cover different orientations of the device including the features. Still further, when a number or a range of numbers is described with “about,” “approximate,” and the like, the term is intended to encompass numbers that are within a reasonable range including the number described, such as within +/−10% of the number described or other values as understood by person skilled in the art. For example, the term “about 5 nm” encompasses the dimension range from 4.5 nm to 5.5 nm.
The present disclosure is generally related to semiconductor devices and the fabrication thereof, and more particularly to methods of fabricating field-effect transistors (FETs), such as gate-all-around FETs (GAA FETs).
In a GAA device, a channel region of a single device may include multiple layers of semiconductor material physically separated from one another. In some examples, a gate of the device is disposed above, alongside, and even between the semiconductor layers of the device. This configuration may place more semiconductor material proximate to the gate and thereby improve the control of carriers through the channel region. In turn, GAA devices allow more aggressive gate length scaling for both performance and density improvement than Fin-like Field-Effect-Transistor (FinFET) device.
The present disclosure is generally related to formation of GAA device, wherein a protective dielectric layer is disposed over the topmost channel semiconductor layer. This protective dielectric layer can protect the topmost channel semiconductor layer from being damaged during the dummy gate etching process, and therefore to mitigate the high resistance or open channel issue in a conventional semiconductor device. In addition, the protective dielectric layer can be used as a stop layer to remove the top portion of the metal gate structure such that the gate height variation between different wafers can be reduced. Furthermore, a conventional GAA device usually comprise a thicker portion of metal gate structure over the topmost channel semiconductor layer compare with the portions of the metal gate structure between the channel semiconductor layers. However, with the protective dielectric layer, the GAA device examples in the present disclosure comprise substantially the same metal gate profiles (materials and thicknesses) wrapping around each channel semiconductor layer, including the portion above the topmost channel semiconductor layer. Thereby, the GAA device examples in the present disclosure can provide uniform threshold voltage (Vt) among different channel semiconductor layers, and thus the different channels can turn on/off substantially at the same time.
Device 200 may be an intermediate device fabricated during processing of an integrated circuit (IC), or a portion thereof, that may include static random-access memory (SRAM) and/or other logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as p-type FETs (PFETs), n-type FETs (NFETs), fin-like FETs (FinFETs), metal-oxide semiconductor field effect transistors (MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, and/or other memory cells. Device 200 can be a portion of a core region (often referred to as a logic region), a memory region (such as a static random access memory (SRAM) region), an analog region, a peripheral region (often referred to as an input/output (110) region), a dummy region, other suitable region, or combinations thereof, of an IC. In some embodiments, device 200 may be a portion of an IC chip, a system on chip (SoC), or a portion thereof. The present disclosure is not limited to any particular number of devices or device regions, or to any particular device configurations.
Referring to
In the depicted embodiment of
Still referring to
In some embodiments, the second semiconductor layers 210B composed of Si may be undoped or substantially dopant-free. In some embodiments, no intentional doping is performed when forming the second semiconductor layers 210B. In some other embodiments, the second semiconductor layers 210B may be doped with a p-type dopant such as boron or boron compound (B, 11B or BF2), gallium (Ga), or combinations thereof for a p-type channel, or an n-type dopant such as phosphorus (P, 31P), arsenic (As), or combinations thereof for an n-type channel. A number of the semiconductor layers in the stack 210 depends on design of the device 200. For example, the stack 210 may comprise one to ten of each type of semiconductor layers 210A or 210B. In some embodiments, different semiconductor layers 210A and 210B in the stack 210 have the same thickness in the Z-direction. In some other embodiments, different semiconductor layers 210A and 210B in the stack 210 have different thickness. In the depicted embodiment, each semiconductor layers 210A has a thickness H1 in the Z-direction, and each semiconductor layers 210B has a thickness H2 in the Z-direction. The height H1 or the height H2 ranges from about 3 nanometers (nm) to about 15 nm, respectively.
The stack 210 including the alternating semiconductor layers 210A and 210B are formed over the substrate 202 using any suitable process. In some embodiments, the semiconductor layers 210A and/or 210B are formed by suitable epitaxy process, such as Molecular beam epitaxy (MBE) process, chemical vapor deposition (CVD) (for example, metal organic CVD (MOCVD)) process, and/or other suitable epitaxial growth processes.
Now referring to
Still at operation 110, after the deposition of the PDL 212, a hard mask layer 214 is formed over the PDL 212. In some embodiments, the hard mask layer 214 includes any suitable material, for example, silicon oxide (SiO), silicon oxy carbide (SiOC), silicon carbide (SiC), silicon carbonitride (SiCN), silicon nitride (SiN), silicon oxy carbonitride (SiOCN), other suitable material, or combinations thereof. The hard mask layer 214 may be deposited over the PDL 204 by a suitable deposition process including, PVD, CVD, ALD, spin on, other deposition process, or combinations thereof.
Referring to
Now referring to
Now referring to
In
Now referring to
Referring to
Now referring to
Next, referring to
Now referring to
An epitaxy process may be implement to epitaxially grow S/D features 240. The epitaxy process may include CVD deposition (for example, vapor-phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), low-pressure CVD (LPCVD), and/or plasma-enhanced (PECVD)), molecular beam epitaxy, other suitable selective epitaxial growth (SEG) processes, or combinations thereof. The epitaxy process can use gaseous and/or liquid precursors. Epitaxial S/D features 240 may be doped with n-type dopants and/or p-type dopants. In some embodiments, epitaxial S/D features 240 are doped with boron, boron difluoride, carbon, other p-type dopant, or combinations thereof (for example, forming an Si:Ge:B epitaxial S/D feature or an Si:Ge:C epitaxial S/D feature). In some embodiments, epitaxial S/D features 240 are doped with phosphorous, arsenic, other n-type dopant, or combinations thereof (for example, forming an Si:P epitaxial S/D feature, an Si:C epitaxial S/D feature, or an Si:C:P epitaxial S/D feature). In some embodiments, epitaxial S/D features 240 may include multiple epitaxial semiconductor layers, and different epitaxial semiconductor layers are different in amount of dopant included therein. In some embodiments, epitaxial S/D features 240 include materials and/or dopants that achieve desired tensile stress and/or compressive stress in the channel regions. In some embodiments, epitaxial S/D features 240 are doped during deposition by adding impurities to a source material of the epitaxy process. In some embodiments, epitaxial S/D features 240 are doped by an ion implantation process subsequent to a deposition process. In some embodiments, annealing processes are performed to activate dopants in epitaxial S/D features 240, such as heavy doped S/D (HDD) regions and/or light doped S/D (LDD) regions.
Now referring to
Now referring to
Now referring to
At operation 155, the semiconductor layers 210A are removed by a selective etching process that is tuned to remove only the semiconductor layers 210A while the PDL 212, the semiconductor layers 210B and the inner spacers 230 remain substantially unchanged. The selective etching may be a selective wet etching, a selective dry etching, or a combination thereof. In some embodiments, the selective wet etching process may include a HF or NH4OH etchant. In some embodiments, the selective removal of semiconductor layers 210A may include an oxidation process (for example, to form oxidized semiconductor layers 210A comprising SiGeOx) followed by an oxidation removal (for example, SiGeOx removal). Referring to
Now referring to
Referring to
Referring to
Now referring to
Now referring to
As illustrated in
Now referring to
Referring to
Referring to
Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and a formation process thereof. For example, embodiments of the present disclosure provide a semiconductor device with a protective dielectric layer over the vertically stacked channel semiconductor layers, such that the topmost channel semiconductor layer is protected from being damaged during the dummy gate etching process. Thereby, the high resistance or open channel issue in a conventional semiconductor device can be mitigated. In addition, during the metal gate CMP process, the protective dielectric layer can be used as a stop layer, such that the metal gate removing variation between different wafers may be more uniform than the conventional time control CMP or etching back process. Furthermore, with the protective dielectric layer, the metal gate portion above the topmost channel semiconductor layer is of the same size as those between the adjacent channel semiconductor layers. Thereby, the Vt of the topmost channel and the Vt of other channels of the semiconductor device are more uniform than a conventional semiconductor device. Therefore, with the protective dielectric layer in the present disclosure, the manufacturing defects can be reduced, and the performance of the semiconductor device can be improved.
The present disclosure provides for many different embodiments. Semiconductor device having a protective dielectric layer and methods of fabrication thereof are disclosed herein. An exemplary semiconductor device includes a substrate; semiconductor layers over the substrate, wherein the semiconductor layers are separate from each other and are stacked up along a direction generally perpendicular to a top surface of the substrate; a dielectric feature over and separate from the semiconductor layers; and a gate structure wrapping around each of the semiconductor layers, the gate structure having a gate dielectric layer and a gate electrode layer, wherein the gate dielectric layer interposes between the gate electrode layer and the dielectric feature and the dielectric feature is disposed over at least a part of the gate electrode layer.
In some embodiments, a distance between a bottom surface of the dielectric feature and an upper surface of a topmost layer of the semiconductor layers is substantially the same as a distance between the adjacent semiconductor layers. In some embodiments, a side surface and a bottom surface of the dielectric feature directly contact the gate dielectric layer. In some embodiments, a top surface of the dielectric feature and a top surface of the gate structure are substantially co-planar. In some embodiments, each of the semiconductor layers is a nanosheet or a nanowire.
In some embodiments, the semiconductor device further comprises a gate spacer disposed along a sidewall of the gate structure and a contact etch stop layer disposed along a sidewall of the gate spacer, wherein the dielectric feature extends through the gate spacer to contact the contact etch stop layer. In some embodiments, the semiconductor device further comprises an inner spacer between the dielectric feature and a topmost layer of the semiconductor layers, wherein a bottom surface of the dielectric feature directly contacts a top surface of the inner spacer. In some embodiments, the semiconductor device further comprises a self-aligned contact (SAC) dielectric layer formed over the gate structure, wherein the SAC dielectric layer is separated by the dielectric feature along a direction that is perpendicular to a direction of a gate length.
Another semiconductor device comprises a substrate; semiconductor layers over the substrate, wherein the semiconductor layers are separate from each other and are stacked up along a direction generally perpendicular to a top surface of the substrate; a protective dielectric feature over and separate from the semiconductor layers; and a gate structure wrapping around each of the semiconductor layers; and an etch stop layer over the protective dielectric feature and the semiconductor layers, and a bottom surface of the etch stop layer directly contacting a top surface of the protective dielectric feature.
In some embodiments, a top portion of the gate structure includes a trench, the protective dielectric feature is formed in the trench, and the bottom surface of the etch stop layer directly contacts a top surface of the gate structure. In some embodiments, in a direction that is perpendicular to a direction of a gate length, a width of the protective dielectric feature is substantially equal to a width of the semiconductor layers.
In some embodiments, the semiconductor device further comprises a self-aligned contact (SAC) dielectric layer over the gate structure, wherein the bottom surface of the etch stop layer directly contacts a top surface of the SAC dielectric layer.
An exemplary method includes receiving a structure including a substrate and a stack of first semiconductor layers and second semiconductor layers alternately disposed over the substrate, wherein a topmost layer of the stack is one of the first semiconductor layers and includes a different material than the second semiconductor layers and the substrate; forming a protective dielectric layer over the stack, wherein a bottom surface of the protective dielectric layer directly contacts a top surface of the topmost layer of the stack; selectively removing the first semiconductor layers such that the second semiconductor layers and the protective dielectric layer are suspended over the substrate; and after the selectively removing, forming a metal gate stack wrapping around the second semiconductor layers and the protective dielectric layer.
In some embodiments, the method further includes planarizing the metal gate stack to expose the protective dielectric layer. In some further embodiments, the method further includes recessing a top portion of the metal gate stack; depositing a conductive material over the recessed metal gate stack and the protective dielectric layer; and planarizing the conductive material to expose the protective dielectric layer. In some further embodiments, the method further includes depositing an interlayer dielectric layer over the metal gate stack and the protective dielectric layer.
In some embodiments, forming the protective dielectric layer includes depositing the protective dielectric layer over the topmost layer of the stack; depositing a hard mask over the protective dielectric layer; patterning the hard mask; etching the protective dielectric layer and the stack using the hard mask as etch mask; and removing the hard mask. In some embodiments, forming the metal gate stack includes depositing a gate dielectric layer to wrap around the second semiconductor layers and the protective dielectric layer; and depositing a gate electrode layer over the gate dielectric layer.
In some embodiments, the method further includes, before the selectively removing, forming a dummy gate structure over the protective dielectric layer and the stack; forming a gate spacer along a sidewall of the dummy gate structure; forming an epitaxial source/drain feature connecting to the second semiconductor layers; and removing the dummy gate structure to expose the protective dielectric layer and the stack. In some further embodiments, the method further includes removing a portion of the protective dielectric layer and the stack to form a trench exposing the substrate; from the trench, selectively removing portions of the first semiconductor layers to form gaps; and forming an inner spacer in the gaps.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Cheng, Kuan-Lun, Chen, Hou-Yu, Chung, Cheng-Ting, Liao, Yi-Bo
Patent | Priority | Assignee | Title |
Patent | Priority | Assignee | Title |
8772109, | Oct 24 2012 | Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd | Apparatus and method for forming semiconductor contacts |
8785285, | Mar 08 2012 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
8816444, | Apr 29 2011 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
8823065, | Nov 08 2012 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
8860148, | Apr 11 2012 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
9105490, | Sep 27 2012 | Taiwan Semiconductor Manufacturing Company, Ltd | Contact structure of semiconductor device |
9236267, | Feb 09 2012 | Taiwan Semiconductor Manufacturing Company, Ltd | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
9236300, | Nov 30 2012 | Taiwan Semiconductor Manufacturing Company, Ltd | Contact plugs in SRAM cells and the method of forming the same |
9520482, | Nov 13 2015 | Taiwan Semiconductor Manufacturing Company, Ltd | Method of cutting metal gate |
9576814, | Dec 19 2013 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of spacer patterning to form a target integrated circuit pattern |
20160118480, | |||
20180175214, | |||
20200105929, | |||
20200294866, | |||
20210098588, |
Executed on | Assignor | Assignee | Conveyance | Frame | Reel | Doc |
Oct 25 2019 | CHUNG, CHENG-TING | TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 059322 | /0009 | |
Oct 25 2019 | LIAO, YI-BO | TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 059322 | /0009 | |
Oct 31 2019 | CHEN, HOU-YU | TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 059322 | /0009 | |
Nov 20 2019 | CHENG, KUAN-LUN | TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 059322 | /0009 | |
Mar 21 2022 | Taiwan Semiconductor Manufacturing Co., Ltd. | (assignment on the face of the patent) | / |
Date | Maintenance Fee Events |
Mar 21 2022 | BIG: Entity status set to Undiscounted (note the period is included in the code). |
Date | Maintenance Schedule |
Apr 02 2027 | 4 years fee payment window open |
Oct 02 2027 | 6 months grace period start (w surcharge) |
Apr 02 2028 | patent expiry (for year 4) |
Apr 02 2030 | 2 years to revive unintentionally abandoned end. (for year 4) |
Apr 02 2031 | 8 years fee payment window open |
Oct 02 2031 | 6 months grace period start (w surcharge) |
Apr 02 2032 | patent expiry (for year 8) |
Apr 02 2034 | 2 years to revive unintentionally abandoned end. (for year 8) |
Apr 02 2035 | 12 years fee payment window open |
Oct 02 2035 | 6 months grace period start (w surcharge) |
Apr 02 2036 | patent expiry (for year 12) |
Apr 02 2038 | 2 years to revive unintentionally abandoned end. (for year 12) |