A method for producing a semiconductor device provided with a fuse including the steps of forming a fuse layer on an insulating layer formed on a semiconductor substrate, forming an interrupting layer covering the fuse layer and the insulating layer, forming an insulating protective layer covering the interrupting layer, selectively etching the protective layer, so as to form a window, with a suitable etchant which does not etch the interrupting layer, and etching the exposed interrupting layer to complete the window by which a portion of the the fuse layer and a portion of the insulating layer are exposed. The insulating layer is not removed so that the reliability of the semiconductor device will not deteriorate.

Patent
   4455194
Priority
Mar 18 1982
Filed
Mar 17 1983
Issued
Jun 19 1984
Expiry
Mar 17 2003
Assg.orig
Entity
Large
49
1
all paid
1. A method for producing a semiconductor device having a semiconductor substrate and an insulating layer formed on the semiconductor substrate, comprising the steps of:
(a) forming a fuse layer on the insulating layer;
(b) forming an interrupting layer on the fuse layer and the insulating layer, for interrupting an etching process;
(c) forming an insulating protective layer on the interrupting layer;
(d) selectively etching the insulating protective layer to form a preceding window; and
(e) selectively etching the interrupting layer to complete the preceding window by which a portion of the fuse layer and a portion of the insulating layer adjacent to the fuse layer portion are exposed.
7. A method for producing a semiconductor device having a semiconductor substrate and having a first insulating layer formed on the semiconductor substrate, comprising the steps of:
(a) forming a polycrystalline silicon fuse layer on the first insulating layer;
(b) forming a second insulating layer on the polycrystalline silicon fuse layer;
(c) depositing a polycrystalline silicon layer on the second insulating layer;
(d) patterning the polycrystalline silicon layer to form a patterned polycrystalline silicon layer over the polycrystalline silicon fuse layer;
(e) forming an insulating protective layer covering the patterned polycrystalline silicon layer;
(f) etching a portion of the insulating protective layer above the region in which the polycrystalline silicon fuse layer is formed;
(g) etching the exposed portion of the polycrystalline silicon layer; and
(h) etching the exposed portion of the insulating layer to expose a portion of the polycrystalline silicon fuse layer.
2. A method according to claim 1, further comprising the step of forming electrodes on the semiconductor device, wherein said step b) of forming the interrupting layer comprises forming a conductor layer for interconnecting the electrodes.
3. A method according to claim 2, further comprising the step of, after said step a) of forming the fuse layer, forming another insulating layer between the fuse layer and the interrupting layer.
4. A method according to claim 1, wherein said step b) of forming said interrupting layer comprises the substep of patterning the interrupting layer so that it has an island-like shape.
5. A method according to claim 2, wherein said step b) comprises forming the conductor layer of polycrystalline silicon.
6. A method according to claim 2, wherein said step b) comprises forming the conductor layer of a metal silicide.
8. A method according to claim 4, wherein said substep of patterning the interrupting layer comprises forming the preceding window having dimensions smaller than the island-like shape.
9. A method according to claim 7, wherein said step a) comprises forming the polycrystalline fuse layer of a metal silicide.
10. A method according to claim 7, wherein said step a) comprises forming the polycrystalline fuse layer of polycrystalline silicon.

(1) Field of the Invention

The present invention relates to a method for producing a semiconductor device and more particularly to a process for forming fuses in an integrated circuit. The present invention can be suitably applied to form polycrystalline silicon fuses in a large-scale integration (LSI) memory.

(2) Description of the Prior Art

The total number of bits of a large capacity LSI memory, such as a 64K-bit dynamic random access memory, has been rapidly increased recently. In order to improve the yield, a redundancy organization is incorporated into the memory. The redundancy organization comprises spare rows and spare columns which are formed near a memory array. If a defective memory element (i.e., a defective bit) is generated, the row and the column forming the defective memory element are replaced with a spare (redundant) row and column. Replacement of the defective memory element is carried out by selectively blowing fuses (i.e., fusible links) which are provided in the memory device. The fuses are made of, e.g., polycrystalline silicon and are blown by an excess electric current or by irradiation with a laser beam.

The polycrystalline silicon fuses are formed around a memory array and in a LSI memory chip. One of the fuses is illustrated in FIGS. 1 and 2. As FIG. 1 shows, the fuse 1 comprises a narrow center portion 2 and wide end portions 3 and 4. The fuse 1 is formed by depositing a polycrystalline silicon layer on an insulating layer 5 of, e.g., silicon dioxide (SiO2), formed on a semiconductor substrate 6 of, e.g., a silicon single crystalline wafer (FIG. 2) and selectively etching the polycrystalline silicon layer. Then an insulating layer 7, e.g., phosphosilicate glass (PSG), for insulating the polycrystalline silicon layer and a subsequently formed aluminum layer is formed on the fuse 1 and the insulating layer 5 (FIG. 2). Conductors 8 and 9 (FIG. 1) are formed by depositing a conductor layer, e.g., aluminum on the insulating layer 7 and selectively etching the conductor layer by means of a photo-etching method. The conductors 8 ad 9 are conected to the wide end portions 3 and 4, respectively, by way of through holes 10 and 11 formed in the insulating layer 7. A passivation layer 12, e.g., PSG, is formed on the whole surface of the obtained memory devices.

In order to easily and reliably blow the fuse 1, the passivation layer 12 and the insulating layer 7 are selectively etched to form a window 13 by which the narrow center portion 2 is exposed. In this case, since the SiO2 of the insulating layer 5 is akin to the PSG of the layers 7 and 12, the SiO2 layer 5 can be etched with an etchant used to etch the PSG layers 7 and 12. Furthermore, usually, the total thickness of the PSG layers 7 and 12 is about 2 μm and the thickness of the SiO2 layer 5 is about 0.5 μm. Accordingly, during the formation of the window 13 by etching the PSG layers 7 and 12, the SiO2 layer 5 can also be etched (as is shown in FIG. 2) so that a portion of the semiconductor substrate 6 may be exposed. If the semiconductor substrate 6 is exposed by the window 13, when the fuse 1 is blown, shortcircuiting may occur between the semiconductor substrate 6 and the blown fuse. When the SiO2 layer 5 is thin, undesirable impurities, such as moisture and ions in the air, may penetrate into the semiconductor substrate 6 through the thin SiO2 layer 5, with the result that the reliability of the LSI memory is decreased.

An object of the present invention is to eliminate the possibility of substrate exposure.

Another object of the present invention is to provide a method for producing a semiconductor device provided with fuses without excessively etching the insulating layer on the semiconductor substrate.

These and other objects of the present invention can be achieved by a method for producing a semiconductor device provided with fuses, which comprises the steps of forming a fuse layer on an insulating layer formed on a semiconductor substrate, forming an interrupting layer which interrupts the etching process and which covers the fuse layer and the insulating layer, forming an insulating protective layer covering the interrupting layer, selectively etching the insulating protective layer to form a preceding window, and selectively etching the interrupting layer to complete the window, by which a portion of the fuse layer and a portion of the insulating layer adjacent to the fuse layer portion are exposed.

According to the present invention, the interrupting layer is not etched with an etchant used to etch the insulating protective layer. If it is etched with an etchant used to etch the insulating protective layer, the etching rate of the interrupting layer is very slow.

The invention will become more apparent from the description of the preferred embodiments set forth below, with reference made to the accompanying drawings.

FIG. 1 a partial plan view of a semiconductor device provided with a fuse according to the prior art;

FIG. 2 is a sectional view along line II-II of FIG. 1;

FIGS. 3 through 11 are sectional views of a semiconductor device, provided with a fuse and a one-transistor memory cell, in various stages of production by means of a method in accordance with the present invention; and

FIG. 12 is a plan view of a fuse of the semiconductor device shown in FIG. 11.

Referring to FIGS. 3 through 11, a process for forming a fuse in accordance with the present invention is explained in connection with a process for forming a one-transistor memory cell in an LSI memory. In this case, the one-transistor memory cell has a double-polysilicon structure which is well known to a person of ordinary skill in the art.

In FIG. 3, "A" is the region in which a fuse is formed and "B" is the region in which a memory cell is formed. A silicon substrate 31 (i.e., a silicon single crystalline wafer) is selectively oxidized to form an insulating layer 32 of SiO2 (i.e., a so-called field-insulating layer) having a thickness of, e.g., about 500 nm. Furthermore, the silicon substrate 31 is also selectively oxidized to form a thin insulating layer 33 of SiO2 for a capacitor. Then a polycrystalline silicon layer having a thickness of from 300 nm to 500 nm is deposited on the whole surface of the insulating layers 32 and 33 by means of a chemical vapor deposition (CVD) method and selectively removed by means of a photoetching method to simultaneously form a fuse layer 34 in the region A and a capacitor electrode 35 in the region B.

The exposed portion of the thin insulating layer 33 is also removed by means of a photo-etching method, as is shown in FIG. 4. then the exposed portion of the silicon substrate 31 is thermally oxidized to form a gate oxide (SiO2) layer 36 having a thickness of, e.g., about 50 nm, as is shown in FIG. 5. At the same time, the fuse layer 34 and the capacitor electrode 35 of polycrystalline silicon are oxidized to form, respectively, SiO2 insulating layers 37 and 38 having thicknesses of about 100 nm.

Then another polycrystalline silicon layer or interrupting layer 39 having a thickness from 300 nm to 500 nm is deposited on the whole surface of the SiO2 layers 32, 36, 37, and 38 by means of a CVD method, as is shown in FIG. 6. The polycrystalline silicon layer 39 is selectively removed by means of a photo-etching method to simultaneously form a polycrystalline silicon island 40 in the region A and a gate electrode 41 in the region B, as is shown in FIG. 7. Then a doped region 42 (FIG. 7) is formed in the region B by implanting impurity ions in the silicon substrate 31 through the gate oxide layer 36.

An isolation layer 43 of PSG having a thickness of, e.g., about 1 μm is deposited on the whole surface of the obtained LSI memory by means of a CVD method, as is shown in FIG. 8. The isolation layer 43 and the SiO2 layers 37 and 36 are selectively removed by means of a photo-etching method to form contact holes 44 and 45 (FIG. 12) in the region A and a contact hole 46 (FIG. 8) in the region B. Accordingly, in the contact holes 44 and 45, wide end portions 47 and 48 of the fuse layer 34 are exposed, respectively, and in the contact hole 46 a portion of the silicon substrate 31 in the doped region 42 is exposed.

Then a conductor layer of, e.g., aluminum is formed on the isolation layer 43 and is patterned by means of a photo-etching method to form conductors 49 and 50 (FIG. 12) and an electrode 51 (FIG. 9). The conductors 49 and 50 are connected to the wide end portions 47 and 48 through the contact holes 44 and 45, respectively. The electrode 51 is connected to the doped region 42 through the contact hole 46. A passivation layer 52 (i.e., an insulating protective layer) of PSG having a thickness of, e.g., about 1 μm is deposited on the whole surface of the isolation layer 43, the conductors 47 and 48, and the electrode 51, as is shown in FIG. 9. A photoresist layer 53 is formed on the passivation layer 52, exposed to a patterned light, and developed to form an opening 54 in the region A, as is shown in FIG. 9. The dimensions of the opening 54 should be smaller than those of the polycrystalline silicon island 40.

The passivation layer 52 and the isolation layer 43 of PSG are selectively removed by means of a dry-etching treatment using a trifluoromethane (CHF3) gas as an etchant to form a preceding window 55, as is shown in FIG. 10. Since the polycrystalline silicon island 40 is not etched by the etchant, a portion of the island 40 is exposed by the preceding window 55 and prevents the etching step from proceeding further. Then the exposed portion of the polycrystalline silicon island 40 is removed by means of a dry-etching treatment using carbon tetrafluoride (CF4) gas as an etchant so that an annular portion of the island 40 remains, as is shown in FIGS. 11 and 12. Next, the dry-etching treatment using the CHF3 gas is repeated to remove the insulating layer 37 of SiO2 so as to expose the fuse layer 34 by a window 56, as is shown FIG. 11. At the same time, the exposed portion of the insulating layer 32 is inevitably etched to the same thickness (about 100 nm) as that of the insulating layer 37. Since the thickness of the layer 37 is relatively thin, the time necessary for etching can be easily controlled, and it is possible to prevent excessive etching. Since the insulating layer 32 has a thickness of about 500 nm, a portion of the silicon substrate 31 under the layer 32 cannot be exposed. The above-mentioned three dry-etching treatments can be performed in a dry-etching apparatus by changing the etchant gas. During the etching treatments, the photoresist layer 53 is not removed. Later, the photoresist layer 53 is removed with a suitable solvent or by means of an ashing method. Thus, a fuse and a onetransistor memory cell are simultaneously formed, as is shown in FIG. 11, in accordance with the conventional steps for forming a double-polysilicon memory cell.

According to the present invention, the insulating layer 32, which is exposed by the window 56 and lies on the substrate 31, is not etched so that a thickness sufficient to prevent undesirable impurities from penetrating the layer is maintained, thus preventing the reliability of the LSI memory from deteriorating.

Upon a testing process for detecting defective elements, the obtained fuse can be blown by application of an electric current or irradiating with a laser beam thereon. After the fuse blowing operation, the window 56 is covered with PSG, if necessary.

It is possible to form the fuse without forming a memory cell.

The fuse layer can be made of a metal silicide, such as molybdenum silicide or tungsten silicide, instead of polycrystalline silicon. Another insulating layer can be made of PSG instead of SiO2. It is possible to make the island 40 of a metal silicide (such as molybdenum silicide or tungsten silicide) or a metal (such as molybdenum, or tungsten) instead of polycrystalline silicon. Furthermore, it is possible to make the isolation layer and the passivation layer of SiO2 instead of PSG.

It will be obvious that the present invention is not restricted to the above-mentioned embodiments and that many variations are possible for a person having ordinary skill in the art without departing from the scope of the invention.

Kanazawa, Masao, Yabu, Takashi

Patent Priority Assignee Title
4536949, May 16 1983 Fujitsu Limited Method for fabricating an integrated circuit with multi-layer wiring having opening for fuse
4562639, Mar 23 1982 Texas Instruments Incorporated Process for making avalanche fuse element with isolated emitter
4635345, Mar 14 1985 Harris Corporation Method of making an intergrated vertical NPN and vertical oxide fuse programmable memory cell
4642162, Jan 02 1986 Atmel Corporation Planarization of dielectric layers in integrated circuits
4701780, Mar 14 1985 Harris Corporation Integrated verticle NPN and vertical oxide fuse programmable memory cell
4707457, Apr 03 1986 Advanced Micro Devices, Inc. Method for making improved contact for integrated circuit structure
4717449, Apr 25 1984 Atmel Corporation Dielectric barrier material
4732658, Dec 03 1986 SAMSUNG ELECTRONICS CO , LTD Planarization of silicon semiconductor devices
4751197, Jul 18 1984 Texas Instruments Incorporated Make-link programming of semiconductor devices using laser enhanced thermal breakdown of insulator
4795720, Jul 11 1984 Hitachi, Ltd. Method for producing semiconductor devices and cutting fuses
4853758, Aug 12 1987 CHASE MANHATTAN BANK, AS ADMINISTRATIVE AGENT, THE Laser-blown links
4862243, Jun 01 1987 Texas Instruments Incorporated Scalable fuse link element
4875971, Apr 05 1987 ELRON ELECTRONIC INDUSTRIES LTD Fabrication of customized integrated circuits
4924287, Jan 20 1985 QUICK TECHNOLOGIES LTD Personalizable CMOS gate array device and technique
4960729, Mar 10 1987 QUICK TECHNOLOGIES LTD Integrated circuits and a method for manufacture thereof
5010039, May 15 1989 INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NEW YORK Method of forming contacts to a semiconductor device
5017510, Jun 01 1987 Texas Instruments Incorporated Method of making a scalable fuse link element
5025300, Jun 30 1989 AT&T Bell Laboratories Integrated circuits having improved fusible links
5066998, Jun 30 1989 AT&T Bell Laboratories Severable conductive path in an integrated-circuit device
5326709, Dec 18 1992 Samsung Electronics Co., Ltd. Wafer testing process of a semiconductor device comprising a redundancy circuit
5444012, Jul 20 1993 Hitachi, Ltd.; Hitachi ULSI Engineering Corp. Method for manufacturing semiconductor integrated circuit device having a fuse element
5521116, Apr 24 1995 Texas Instruments Incorporated Sidewall formation process for a top lead fuse
5585662, Feb 24 1992 NEC Electronics Corporation Semiconductor integrated circuit device with breakable fuse element covered with exactly controlled insulating film
5652459, Sep 05 1995 Vanguard International Semiconductor Corporation Moisture guard ring for integrated circuit applications
5729042, Aug 14 1995 Vanguard International Semiconductor Corporation Raised fuse structure for laser repair
5780918, May 22 1990 Seiko Epson Corporation Semiconductor integrated circuit device having a programmable adjusting element in the form of a fuse mounted on a margin of the device and a method of manufacturing the same
5888851, May 01 1990 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a circuit portion and redundant circuit portion coupled through a meltable connection
5895262, Jan 31 1996 Micron Technology, Inc. Methods for etching fuse openings in a semiconductor device
5972756, Nov 30 1995 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device with a fuse portion
5986319, Mar 19 1997 Clear Logic, Inc.; CLEAR SEMICONDUCTOR, INC Laser fuse and antifuse structures formed over the active circuitry of an integrated circuit
6107178, Apr 09 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods for etching fuse openings in a semiconductor device
6121074, Nov 05 1998 Polaris Innovations Limited Fuse layout for improved fuse blow process window
6235557, Apr 28 1999 NXP B V Programmable fuse and method therefor
6239455, Jan 31 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Fuse structures
6284575, Oct 27 1997 Hyundai Electronics Industries Co., Ltd. Method of making a semiconductor device having fuses for repair
6306746, Dec 30 1999 NXP B V Backend process for fuse link opening
6335229, Oct 13 1999 International Business Machines Corporation Inductive fuse for semiconductor device
6399472, Oct 29 1997 Fujitsu Semiconductor Limited Semiconductor device having a fuse and a fabrication method thereof
6531756, Mar 19 1997 CLEAR LOGIC, INC Laser fuse and antifuse structures formed over the active circuitry of an integrated circuit
6559042, Jun 28 2001 GLOBALFOUNDRIES U S INC Process for forming fusible links
6617664, Oct 13 1997 Fujitsu Semiconductor Limited Semiconductor device having a fuse and a fabrication process thereof
6667537, Oct 27 1997 Seiko Epson Corporation Semiconductor devices including resistance elements and fuse elements
6696733, Oct 27 1997 Seiko Epson Corporation Semiconductor devices including electrode structure
6713838, Oct 13 1999 GOOGLE LLC Inductive fuse for semiconductor device
7238620, Feb 18 2004 National Semiconductor Corporation System and method for providing a uniform oxide layer over a laser trimmed fuse with a differential wet etch stop technique
7459350, Dec 30 2003 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a protection circuit located under fuse window
7589397, Feb 18 2004 National Semiconductor Corporation System and method for providing a uniform oxide layer over a laser trimmed fuse with a differential wet etch stop technique
8803281, Sep 30 2011 ABLIC INC Semiconductor device
9236344, Feb 22 2013 NXP USA, INC Thin beam deposited fuse
Patent Priority Assignee Title
4420504, Dec 22 1980 Raytheon Company Programmable read only memory
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Mar 07 1983YABU, TAKASHIFUJITSU LIMITED, A CORP OF JAPANASSIGNMENT OF ASSIGNORS INTEREST 0041070779 pdf
Mar 07 1983KANAZAWA, MASAOFUJITSU LIMITED, A CORP OF JAPANASSIGNMENT OF ASSIGNORS INTEREST 0041070779 pdf
Mar 17 1983Fujitsu Limited(assignment on the face of the patent)
Date Maintenance Fee Events
Dec 04 1987M173: Payment of Maintenance Fee, 4th Year, PL 97-247.
Oct 11 1991M174: Payment of Maintenance Fee, 8th Year, PL 97-247.
Nov 14 1991ASPN: Payor Number Assigned.
Dec 16 1993RMPN: Payer Number De-assigned.
Dec 04 1995M185: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Jun 19 19874 years fee payment window open
Dec 19 19876 months grace period start (w surcharge)
Jun 19 1988patent expiry (for year 4)
Jun 19 19902 years to revive unintentionally abandoned end. (for year 4)
Jun 19 19918 years fee payment window open
Dec 19 19916 months grace period start (w surcharge)
Jun 19 1992patent expiry (for year 8)
Jun 19 19942 years to revive unintentionally abandoned end. (for year 8)
Jun 19 199512 years fee payment window open
Dec 19 19956 months grace period start (w surcharge)
Jun 19 1996patent expiry (for year 12)
Jun 19 19982 years to revive unintentionally abandoned end. (for year 12)