An apparatus for measuring acoustic transmission characteristics of at least one medium to be measured in which at least one elongated hollow chamber is immersed. The chamber is closed at its bottom end and is filled with an acoustic connecting liquid, and at least two separate movable transducers are immersed in the connecting liquid within the chamber in spaced relation to the wall thereof, the chamber having a cross-sectional shape such that a directional acoustic path between opposite emitter and collector transducers passes through the medium to be measured, the medium being out of direct contact with the transducers.

Patent
   4535628
Priority
May 22 1981
Filed
Jan 21 1983
Issued
Aug 20 1985
Expiry
Aug 20 2002
Assg.orig
Entity
Small
172
19
EXPIRED
8. An apparatus for measuring acoustic transmission characteristics of at least one medium to be measured, and for testing operability comprising two elongated separate spaced chambers immersed in said medium, each chamber being a hollow tube closed at its bottom end and filled with an acoustic connection liquid; at least one transducer in each hollow tube, each said transducer serving as an emitter and a receiver of sonic pulses, said transducers being mounted stationary in their respective hollow tubes and facing each other in such manner that respective distances between each transducer and an adjacent inside wall of its tube are different; and a rail in each said tube to which each transducer is attached stationarily, said rail at its lower portion being provided with a fixing block which forms a contact with the inside closed bottom end of each said tube; wherein for each sonic signal test function each transducer serves as both an emitter and a receiver and is caused to emit a sonic pulse and thereafter to receive a reflected part of the respective sonic pulse from said adjacent inside wall of its tube; and wherein for testing in a dry state or when medium to be measured is present between said tubes, when said medium to be measured is present between said tubes, the arrival of the received and said reflected parts of the sonic pulses being received within respective time window portions of the sonic pulses to serve as a confirmation test that each said transducer functions as both the emitter and receiver.
1. An apparatus for measuring acoustic transmission characteristics of at least one medium to be measured, e.g. for measuring levels of the medium, in which at least one elongated hollow chamber is immersed, said hollow chamber comprising a guiding chamber filled with an acoustic connection liquid, wherein at least two separated transducers are immersed in said connection liquid inside said guiding chamber, said transducers being arranged on respective branches of a mounting support so that said transducers face one another said guiding chamber having a cross-sectional shape such that a directional acoustic path between opposite transducers passes through said medium to be measured, said guiding chamber being closed at its bottom to avoid contact between said medium and said transducers, and wherein said mounting support on which said transducers are mounted has a cross-sectional shape substantially the same as that of said guiding chamber, but of a lesser cross-sectional area than that of said guiding chamber, one said transducer being arranged on one side of said support and serving as an emitter of an acoustic wave that is emitted toward another said transducer serving as a collector on the other side of said support, said support being selectively movable within said chamber, the primary acoustic path extending from said emitter, through said connection liquid surrounding it, through the wall of the guiding chamber adjacent thereto, through the medium to be measured, through the guiding chamber wall near the collector, through the connection liquid surrounding said collector and to the collector itself.
2. An apparatus as claimed in claim 1, wherein a directional acoustic path from said emitter to said collector forms an angle with the horizontal.
3. An apparatus as claimed in claim 1 or 2, wherein a secondary acoustic path extends from said emitter to said collector via the body of the mounting support.
4. An apparatus as claimed in claim 3, wherein said secondary acoustic path extends from said emitter to said collector via said chamber for forming a reference and test signal path.
5. An apparatus as claimed in claim 1 or 2, wherein a a temperature sensor is mounted on said support, the total effect of the transmission characteristics being obtained by recording a temperature profile and an acoustic connection at the same level.
6. An apparatus as stated in claim 1 or 5 characterized in that said transducers (2,4,8) are connected via a coupling link (5) to a contol and recording means (11), which means comprises members to control the movement of said mounting support in at least one of the following operational modi:
(a) a selective setting on a fixed position in said profile,
(b) accompanying the movements of one or several interfaces in the medium to be measured, and
(c) tracing along the entire length of said profile.
7. An apparatus as stated in claim 1, characterized in that said guiding chamber has a O-, C-, U-, V-, or key hole shaped cross section.

The present invention relates to an apparatus for level measurements of acoustic transmission characteristics of at least one medium to be measured, e.g. for measuring levels of said medium, as stated in the introductory part of the following claims.

From the Norwegian Pat. No. 141 967 an apparatus for level measurements of freely flowing substances, solids or combinations of these in tanks, containers, basins or the like by measuring the acoustic transmission characteristics is known, wherein two separate elongated tubes are arranged, both being closed at their bottom ends, and both tubes being filled with a suitable liquid forming a means of communication between an emitter transducer and a collector transducer which are adjustably provided in their respective tubes. The tubes primarily serve to separate the transducers from the medium to be measured. The transducers are separately connected to a conveyer means for position control and they comprise electrical connections to a signal processing device.

As will appear from said patent the transducers will necessarily have a non-directional radiation pattern, which causes increased power demand, a poor signal/noise ratio and reduced resolution. The shown solution among others have the constructive disadvantages that synchronous moving of the separate transducers necessitates complicated and relatively expensive equipment and that the general construction of the apparatus in other respects is mechanically complicated and vulnerable.

German Pat. No. 1 648 997 shows a solution, wherein two transducers are secured inside the respective branches of a hollow chamber having a C-shaped cross section. The thickness of the chamber wall is designed to be an integer of λ/2, λ corresponding to the wave length of the utilized transducers. Between each transducer and the wall of the C-shaped chamber there is an insert securing the transducer to the profile wall. A disadvantage of said known solution is among others the necessity of precise operational frequencies for both transducers, and that this requires a complicated installation, especially in chambers of great lengths. Furthermore, every measuring point consists of mutually tuned pairs of transducers which only form one fixed measuring point, so that in case several measuring points are needed this will necessitate a corresponding number of transducer pairs as well as an increased amount of electronics. Also, it is impossible to move the transducer.

The present invention, thus, has the object of providing an apparatus that is less expensive and more simple in production, more reliable in operation, has a high resolution as compared with the known art, and enables control measurements of the function of the apparatus.

The features characterizing the invention will appear from the following claims as well as from the following description with reference to the accompanying drawing.

FIG. 1 illustrates the principle of the operation of the apparatus according to the invention.

FIG. 2 shows the apparatus according to the invention provided in a hollow chamber.

FIG. 3 shows the apparatus according to the invention mounted on top of a container or the like.

FIG. 4 illustrates a cross-section taken along the line A--A in FIG. 3.

FIG. 5 illustrates the arrangement of the transducers in the apparatus according to FIG. 3.

FIGS. 6-11 illustrate various kinds of hollow chambers of an apparatus as shown in FIG. 1.

FIG. 12 shows a modification of the apparatus according to the invention, designed for measurement of media to be measured provided in a closed container.

FIG. 13 shows a modification of the level meter according to FIGS. 3-5, especially for use as a high level alarm device, partly shown in section.

FIGS. 14a and 14b illustrate the principle of the embodiment according to FIG. 13.

FIG. 15 is a time diagram for a closer illustration of the operation of the level meter according to FIGS. 13 and 14.

In FIG. 1 a mounting support 1 is shown connected with a combined control and detection means 11. To one free branch of the mounting support one or several first acoustic transducers (emitters) 2,2' are secured, and at least one second acoustic transducer (collector) 4 is secured to the opposite free branch of said mounting support. A switching unit 5 with optional adapting equipment is connected between said transducers and a signal lead 10. Said transducers are connected with said switching unit via leads 6 and 7 resp. For registration of temperature at the same position it may, furthermore, be suitable to provide a temperature sensor 8 on the same mounting support 1. Said sensor 8 is connected to switching unit 5 via lead 9. Means 11 may be a micro processor with associated suitable control and signal means. The mounting support 1 is displaceable e.g. by a wire 14 connected to a suitable point 15 on said support. Wire 14 is arranged over a pulley 13 for displacement of mounting support 5 in the longitudinal direction of a chamber. Wire 14 can be guided by a vertical position determinating means 12 in the shown embodiment.

When directed acoustic waves are transmitted from emitters 2 and 2' resp. said waves will be received by collector 4 rather in the shape of rays 3,3'. If the transition zone is present between emitters 2 and 2' collector 4 will receive said wave signals 3, 3' with a different character (e.g. as regards phase, amplitude, time), which may form the signal basis for controlling the vertical position of the mounting support when the apparatus is to follow the change of level of the transition zone. In this manner it is, thus possible to detect an interface effectively with high resolution and to let the mounting support follow said interface if desired.

Mounting support 1 is constructed always to provide the transducers in fixed mutual positions and to have the acoustic path fixed in one direction, the position of the support in the chamber simultaneously bringing about the most advantageous acoustic path in relation to the chamber and the medium to be measured.

The secondary acoustic signal path that will arise between the transducers via the mounting support itself and possibly the chamber, may be utilized as a control function of the fact that the system performs satisfactorily, especially in those cases where the medium to be measured has no or low acoustic transmission of the primary signal.

In FIG. 2 the mounting support 1 with said transducers and switching unit is shown mounted in one single guiding chamber 19, the bottom of which is closed. Chamber 19 is filled with an acoustic connecting liquid 18 which is preferably electrically insulating. In the apparatus shown in FIG. 2 wire 14 passes over pulley 13 from a reel 16 and the position of the support is recorded by means 12 via the wire and the pulley 13. Means 12 communicates with control and recording means 11.

Mounting support 1 may be moved by the aid of an electric, hydraulic, or pneumatic drive, e.g. a step motor. Alternatively movement may be provided by replacing wire 14 e.g. by a metal ribbon, e.g. provided with perforations for engagement with a so called quide wheel. A further alternative manner of moving said support is to connect its upper portion with a buoyancy body, so that said support can be moved along said chamber in a controlled manner by the aid of variations of the connecting liquid level in said chamber.

In FIG. 3 the apparatus according to the invention is encased with a top cover comprising a hat 20 and a securing flange 21. The securing flange may be screwed or welded onto a container top 22.

In FIGS. 4 and 5 several groups of mounting supports are shown on a common securing means 23. The respective supports can be separately and infinitely variably moved along securing means 23 and be secured to said means, e.g. by a screw joint 24 to the desired position. Means 23 with supports can be inserted freely into chamber 19 from above without any necessity of releasing said chamber with its associated flange from the tank. In this manner installation, maintenance and adjustment of all kinds may be carried out with the tank being hermetically closed to the atmosphere to avoid any explosion hazard when the medium to be measured is of an explosive kind.

In FIGS. 6-11 various embodiments of profile 19 are shown. As shown in FIG. 2, geometrically shaped chamber 19 may have a generally U-shaped cross section, as indicated in FIGS. 8-11 as well. In FIG. 6 the chamber comprises an outside tube portion 25 and an inside tube portion 26, which gives the chamber a generally O-shaped cross section. The medium to be measured is then to be found within tube portion 26, whereas a suitably designed mounting support and the connecting liquid are arranged between tube portions 26 and 25. In FIG. 7 a slot 27 is shown in the chamber shape of FIG. 6, which gives the chamber a generally C-shaped or key hole shaped cross section. The primary acoustic path here will be between walls 28 and 29, said walls being parallel. As indicated in FIG. 9 as well as 10, the chamber may be constructed of welded parts, e.g. parts 31 and 32, welding flanges 30 being formed in the welding process. In FIG. 11 the chamber 33 has an approximate U- or C-shape, said chamber e.g. being formed by rolling a tube which has a generally circular cross section originally. The chambers shown in FIGS. 6, 7, and 11 may be extruded chambers. A chamber shape like that of FIGS. 9 and 10 may naturally also be achieved by extrusion, in which case flanges 30 are omitted.

The control and recording means 11 comprises means for controlling the movements of mounting support 1 in at least one of the following operational modi: (a) selective setting on a firm position in the guiding chamber 19, (b) accompanying the movement of one or several interfaces in the medium to be measured, and (c) scanning along the entire length of the guiding chamber for recording the acoustic transmission characteristics of the medium to be measured along the length of the guiding chamber.

With the present invention an apparatus is, thus, provided which with its single guiding chamber shows great mechanical strength, is less expensive and simpler in production, has low power consumption due to high directivity and high intrinsic safety, especially in explosive surroundings. Due to the fact that the transducers are connected with a common support, movement of said transducers is possible in an easily controllable manner. To ensure a smoothest possible movement of said support in the guiding chamber, said support may comprise means, e.g. springs or rollers which form a light contact with the interior wall of the guiding chamber.

In FIG. 12 emitter 2 and collector 4 are mounted on a common support 1 which is adjustable by the aid of a screw 36, a groove 37 and a bracket 38. The transducers 2 and 4 are made to form a food acoustic contact with the container 34 containing the medium to be measured. In this manner e.g. the level 35 of the medium in container 34 may be measured without said transducers having direct contact with the medium to be measured. Transducers 2 and 4 are only in contact with container 34, the outside wall of it serving as a guiding chamber for the transducers.

FIG. 13 shows a modification of the level meter of FIGS. 3 to 5, this embodiment being designed as a high level alarm that is triggered when the level reaches one or several fixed levels in a container 39 (tank). This meter is also partly based on the technology appearing from the same principle as Norwegian Pat. No. 141 967.

In the present embodiment emitter 40 and receiver 41 transducers are firmly mounted in separate tubes 42 and 43 resp. The tubes surrounding the transducers are filled with a suitable connecting liquid and are closed at their bottoms.

A requirement of a high level alarm having an alarm function is that its functioning must be possible to test in a dry state as well, In the present case this problem is solved by a manual or automatic function which means that both transducers 40, 41 serve as emitter and receiver in a test function. Each transducer sends an acoustic wave 44; 45 towards the inside of the respective tube wall 42; 43 and said wave is reflected back to the transducer 40; 41, which transforms it to an electrical signal at times T1 and T2 regarding transducer 41 and 40 respectively.

Due to the fact that the transducers are mounted at a different distance d1 and d2 resp. from the tube wall, as seen in the transmission direction, this time difference will be somewhat variable for the respective transducers.

A precision timer circuit (not shown) controls the sequence of emission of the respective transducers. The times of the emitted signal and the received signal are compared synchronously. In the test function the time interval between the emitted signal and the received signal from both transducers will be relatively short, but with a small relative difference in time (short pass time between transducer and tube wall being mutually somewhat different).

When both received signals are within a time window 46 and 47 resp., (FIG. 15) the test is accepted and is simultaneously a confirmation of the fact that each transducer 40, 41 functions both as an emitter and as a receiver.

If there is a medium to be measured present as indicated at 48 in FIG. 13, between the tubes level with the positions of the transducers, an acoustic signal 49 will additionally be received at time T3 as a consequence of the fact that a large portion of the acoustic signal passes through tube wall 42 and the medium between tubes and that the sound wave 49 penetrates the tube wall 43 on the receiving side and is there transformed to an electrical signal which differs in time from that of the test function because of a far longer pass time, and which will appear within a certain time window 50. Signals appearing within said time window are a measure of the fact that an acoustically conductive medium level covers the meter at transducer level.

Even though only two transducers are shown in the chosen embodiment, it will be obvious that two or more transducers may be arranged in each tube, dependent on the number of levels to be measured.

As appears from FIG. 13, transducers 40, 41 are mounted on respective rails or the like 51, 52. Undermost said rails may comprise a fixing block 53 and 54 resp., which preferably has a rectangular shape. Like the solutions described above, the present embodiment may be made gas tight, the tubes ending in a collar 55 on top, the exterior portion of which is extended upwards by a cylindrical wall, on which a cover 56 may be secured. Inside said cylindrical portion an intermediate cover 59 may advantageously be provided, on which e.g. electronic equipment 57, leads 58 or the like may be arranged with ducts to the transducers. Said rails should be kept in place by a fixing block 60.

Hope, Bjorn R.

Patent Priority Assignee Title
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11390950, Jan 10 2017 ASM IP HOLDING B V Reactor system and method to reduce residue buildup during a film deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11450529, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
4623264, Apr 26 1985 TIDEL ENGINEERING, INC Temperature sensing using ultrasonic system and movable target
4787407, Aug 28 1986 SHELL INTERNATIONALE RESEARCH MAATSCHAPPIJ B V , CAREL VAN BYLANDTLAAN 30, THE HAGUE, THE NETHERLANDS, A CORP OF THE NETHERLANDS Method and apparatus for the detection of the fluid level in a tank being filled
4811595, Apr 06 1987 Applied Acoustic Research, Inc. System for monitoring fluent material within a container
5043912, Jul 20 1988 B.V. Enraf-Nonius Delft Apparatus for measuring the level of the interface between a first and a second medium in a reservoir
8495913, Nov 13 2007 TRACERCO LIMITED Level measurement system
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
ER3967,
ER4489,
ER6015,
ER6328,
ER8750,
Patent Priority Assignee Title
3213438,
3326042,
3456715,
3838593,
3969941, Nov 08 1973 E. Rapp Electronik GmbH Level detector for liquids and other flowable masses
4123753, Sep 29 1976 MMC INTERNATIONAL CORP Ullage measuring device
4182177, May 19 1978 Kamyr, Incorporated Sound emission level detection
4212201, Aug 23 1978 Shell Oil Company Ultrasonic sensor
4229798, Jan 30 1978 Alistair Francis, McDermott Liquid storage tank contents gauge
4403508, Jun 10 1981 ENERGY, THE UNITED STATES OF AMERICA AS REPRESENTED BY THE DEPARTMENT OF Locating interfaces in vertically-layered materials and determining concentrations in mixed materials utilizing acoustic impedance measurements
AU222586,
DE1648997,
DE2631037,
DE2911216,
FR1235054,
GB829741,
GB1361052,
IT356729,
NO141967,
Executed onAssignorAssigneeConveyanceFrameReelDoc
Date Maintenance Fee Events
Feb 21 1989M170: Payment of Maintenance Fee, 4th Year, PL 96-517.
Aug 22 1993EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Aug 20 19884 years fee payment window open
Feb 20 19896 months grace period start (w surcharge)
Aug 20 1989patent expiry (for year 4)
Aug 20 19912 years to revive unintentionally abandoned end. (for year 4)
Aug 20 19928 years fee payment window open
Feb 20 19936 months grace period start (w surcharge)
Aug 20 1993patent expiry (for year 8)
Aug 20 19952 years to revive unintentionally abandoned end. (for year 8)
Aug 20 199612 years fee payment window open
Feb 20 19976 months grace period start (w surcharge)
Aug 20 1997patent expiry (for year 12)
Aug 20 19992 years to revive unintentionally abandoned end. (for year 12)