An apparatus and methods for a CVD system incorporates a plasma system for efficiently generating a plasma remotely from a substrate processing zone. The remotely generated plasma may be used to clean unwanted deposits from a chamber, or may be used during substrate processing for etching or depositing processes. In a specific embodiment, the present invention provides an easily removable, conveniently handled, and relatively inexpensive microwave plasma source mounted on the lid of a deposition system. The remote microwave plasma source has a plasma reaction volume that is relatively large compared to a plasma applicator tube volume. Locating the gas inlet between the plasma reaction volume and a microwave power coupler improves the conversion efficiency of microwave energy to ionic plasma species.

Patent
   6029602
Priority
Apr 22 1997
Filed
Apr 22 1997
Issued
Feb 29 2000
Expiry
Apr 22 2017
Assg.orig
Entity
Large
272
23
all paid
12. A method for generating plasma species comprising the steps of:
a) providing a discharge tube within a resonator cavity, said discharge tube having inlet and outlet ports;
b) providing a launcher capable of coupling microwave energy from a microwave power source to said resonator cavity;
c) flowing a process gas into the inlet port in said discharge tube, said inlet port being proximate to said launcher; and
d) forming a plasma from said process gas in said discharge tube by applying said microwave energy to said process gas.
11. A substrate processing apparatus comprising:
a processing chamber;
a microwave resonator cavity coupled to said processing chamber;
a plasma discharge tube disposed within said microwave resonator cavity coupled to said processing chamber through a plasma outlet port;
a microwave source coupled to said microwave resonator cavity with a launcher; and
a gas delivery system configured to deliver a longitudinal flow of a gas to said plasma discharge tube at an inlet port of said plasma discharge tube said inlet port being proximate to said launcher.
10. A remote microwave plasma apparatus for use with a substrate processing system, said remote microwave plasma apparatus comprising:
a process chamber;
a resonator cavity;
a plasma discharge tube disposed within said resonator cavity, said plasma discharge tube having an inlet port and a plasma outlet port;
a microwave power source with a launcher coupling microwave energy from said microwave power source to said resonator cavity;
a gas source providing a process gas flow to said plasma discharge tube at said inlet port;
a glow-discharge detector adjacent to said plasma outlet port; and
a power controller coupled to said microwave power source and to said glow-discharge detector.
1. A microwave plasma source module for use with a substrate processing system, said microwave plasma source module comprising:
a resonator cavity with a first interior volume, said resonator cavity including a side wall, a near-end wall and a far-end wall, wherein said side wall is electrically coupled to said near-end wall and said far-end wall;
a plasma discharge tube with a second interior volume disposed within said resonator cavity, said plasma discharge tube having an inlet port closer to said near-end wall than said far-end wall and a plasma outlet port closer to said far-end wall than said near-end wall; and
a microwave power source with a launcher at or substantially adjacent to said near-end wall of said resonator cavity.
7. A remote microwave plasma apparatus for use with a substrate processing system, said remote microwave plasma apparatus comprising:
a process chamber;
a resonator cavity, said resonator cavity being formed by at least a side wall, a near-end wall and a microwave-absorbing material, wherein said side wall is electrically coupled to said near-end wall;
an adjustable aperture disposed between said microwave absorbing material and said resonator cavity, said adjustable aperture being electrically coupled to said side wall;
a plasma discharge tube disposed within said resonator cavity, said plasma discharge tube having an inlet port and a plasma outlet port;
a microwave power source with a launcher, said launcher coupling microwave energy from said microwave power source to said resonator cavity;
a glow-discharge detector adjacent to said plasma outlet port; and
an aperture controller coupled to said glow discharge detector, said aperature controller adjusting said adjustable aperture in response to a signal produced by said glow-discharge detector upon detection of a glow discharge.
2. The microwave plasma source module of claim 1 wherein said microwave power source is a pulsed magnetron operating at about 2.45 GHz.
3. The microwave plasma source module of claim 1 wherein said side wall is cylindrical.
4. The microwave plasma source module of claim 1 wherein said second interior volume of said discharge tube is greater than about 90 percent of said first interior volume of said resonator cavity.
5. The microwave plasma source module of claim 1 further comprising a gas source providing a process gas to said inlet port to establish a flow away from said launcher along a longitudinal axis.
6. The microwave plasma source module of claim 1 further comprising an applicator tube with a third interior volume less than about 10 percent of said second interior volume of said discharge tube.
8. The remote microwave plasma system of claim 7 wherein said adjustable aperture is an iris or a curtain shutter.
9. The remote microwave plasma system of claim 7 wherein said glow discharge detector is a photodetector.
13. The process of claim 12 wherein said process gas comprises fluorine.
14. The process of claim 12 wherein said process gas comprises NF3.
15. The process of claim 12 wherein said microwave power source is a pulsed magnetron source.
16. The process of claim 12 wherein said discharge tube has a first volume and said resonator cavity has a second volume, said first volume of said discharge tube being at least about 90% of said second volume of said resonator cavity.
17. The process of claim 12 wherein said discharge tube has a first volume and further comprising an applicator tube with a third volume, said third volume of said applicator tube being less than about 10% of the sum of said first volume of said discharge tube and said third volume of said applicator tube.
18. The process of claim 12 wherein said process gas flows in a net longitudinal direction from said launcher to said outlet port.

This invention relates to the formation of a plasma using microwave energy, and in particular to an efficient, compact, high-output plasma source for use with deposition systems. Such deposition systems may be used in fabricating semiconductor wafers, for example.

A plasma is a partially ionized gas containing positive and negative ions and radicals. These ions and radicals may be beneficial in many types of operations used in processing semiconductor wafers. Examples of such operations include plasma-enhanced chemical vapor deposition (PECVD), sputtering deposition, sputter etching, reactive-ion etching (ion-assisted sputter etching), and plasma etching (ionic-chemical) or cleaning processes.

One way a plasma can be created is to apply an electric field across a gas. Under the proper conditions, free electrons (generated by a passing cosmic ray, spark discharge, or ultraviolet light source, for example) are accelerated to sufficient energy so that an inelastic collision between the electron and a gas molecule results in the ionization of the molecule. A direct current (DC) field may be used to create a plasma, but high-frequency fields, such as radio frequency (RF) or microwave frequency (MW) are preferred to generate the desired plasma species as high-frequency fields produce a greater number of inelastic collisions. Some plasmas may form a glow discharge.

A glow discharge is a condition where there are a sufficient number of free electrons available to sustain a glowing plasma region. A self-sustaining number of free electrons may form when the energy transferred in an inelastic collision between an electron and a gas molecule (including single-atom gases) or ion is greater than the ionization potential for that gas molecule or ion. The collision may create a second free electron, and both the original and secondary electron may then be accelerated to an energy sufficient to create two new ionizing collisions, thus cascading the number of free electrons available to maintain a stable plasma. In this state, inelastic collisions between electrons and gas molecules that are insufficient to liberate a free electron may briefly excite an electron to a higher orbital state. As these excited electrons collapse to their ground state, they may release photons, often in the visible spectrum. This causes the plasma to glow, and gives the glow discharge its name.

Many processes create a plasma, often in the form of a glow discharge, at the location of the desired operation, or process zone. PECVD, for example, typically uses such an in situ plasma because the physical movement, as well as the chemical activity, of the plasma species is important to the process. Some other processes, such as some plasma etching or cleaning processes, do not require the plasma to be generated at the process zone. The desired plasma species created in the glow discharge may have a sufficient lifetime before recombining into neutral species such that the plasma species may be used in a location remote from the plasma activation (glow discharge) zone.

FIG. 1 shows a deposition system that utilizes one type of a prior art remote plasma source. In this system, a microwave source 100 is connected to a waveguide 110 that irradiates process gas in the discharge tube 120 to form a glow discharge 111. The discharge tube conveys ions and radicals resulting from the glow discharge 111 to the processing chamber 130 through the applicator tube 121. This may be an inefficient way to create a plasma for at least three reasons. First, a waveguide typically transmits only certain modes of microwave fields, reducing the power from the microwave source, which may generate power in many different modes, to the plasma interaction (glow discharge) zone. Second, many ions may recombine into molecules as they travel down the applicator tube to the process zone, reducing the number and/or concentration of ions available for the process. Finally, the potential volume available for interaction between the plasma and the microwaves may be limited by the cross-section of the waveguide and the crosssection of the discharge tube. This may limit the generation of ions and radicals at a given pressure and flow within the discharge tube because the volume of the plasma activation zone (interaction volume) is small compared to the total volume of the applicator tube; for example, some designs use a two-inch lengthwise section of a tube having a one-inch diameter for the plasma activation zone. Because the interaction volume is so small, high-power-density, relatively expensive, DC microwave power supplies are typically used in order to obtain sufficiently high microwave coupling.

However, it is more expensive to operate such high-power-density supplies than 100-W to 700-W magnetrons, for example. In addition, remote plasma systems that transmit high-power microwave energy through a waveguide to a small interaction zone concentrate the heat generated by the plasma in a fairly small volume. Therefore, conventional remote plasma systems often use a cooling system to keep the applicator tube from overheating. Typically, these are liquid-cooled systems, which add initial expense and maintenance costs. Also, liquid-cooled systems often suffer from leakage problems. Such leakage may lead to corrosion of the equipment, which may cause a degradation in the quality of the processed substrates.

Another type of problem related to the type of remote plasma system shown in FIG. 1 is that it is complicated and bulky. This may affect the time and effort required to disconnect the remote plasma system for chamber maintenance, especially if a cooling system is involved. Additionally, if this microwave system is improperly reconnected afterwards, microwave energy may radiate into the surrounding room, posing a safety threat to personnel and interfering with electronic devices.

FIG. 2 shows another type of remote plasma source used with wafer processing chambers, sharing some of the disadvantages of the system shown in FIG. 1. In the system shown in FIG. 2, a microwave antenna, or launcher 201, couples energy from a microwave source 200 into a resonator cavity 240. The microwave source 200 may be a 2.45-GHz magnetron, for example. While a greater volume of gas, compared to the system of FIG. 1, may be irradiated with microwave energy in the configuration shown in FIG. 2, the volume of the discharge tube 220 within the resonator cavity 240 is substantially less than the total volume of the discharge tube 220 and the applicator tube 221. As described above, recombination of some of the plasma ions and radicals desired for processing may occur in the applicator tube 221, reducing the concentration of ions radicals in the plasma that reach the processing chamber 230.

Another problem with some plasma systems, such as those shown in FIGS. 1 and 2, arises from the variable absorption of microwave energy by the plasma as the plasma density changes. When microwave energy is first transmitted to the gas in the applicator tube it may accelerate electrons to sufficient energy so that the electrons collide with gas molecules, as discussed above. These collisions may create additional free electrons and other ionic species. At the onset of irradiation, the gas may be nearly electrically nonconductive. As the ion and free electron concentration increases, the plasma becomes more and more conductive, increasing in conductivity by up to two orders of magnitude, or more. The plasma may become so conductive that it reflects a significant portion of the microwave energy that impinges on it. At some point, the plasma will reach a critical density, where it will not absorb any additional energy. This is called the critical density (NC), and is about 7×1010 ions/cmn-3 at 2.45 GHz. At this point, the plasma may become unstable and flicker, and the high amount of energy reflected from the plasma back to the microwave source may damage the source. The typical response is to operate the plasma system well below NC. However, this means that the ion density in the plasma is well below the maximum possible concentration. To prevent damage to the microwave source, matching networks, such as mechanically tunable stubs, have been used to improve the power transfer efficiency between the source and the load (plasma) in some systems. However, because the impedance (conductivity) of the plasma may vary over such a wide range, it may be difficult to obtain a good match over the entire range of plasma densities and operating conditions.

From the above, it can be seen that it is desirable to have a compact remote microwave plasma system that efficiently produces a high concentration of ions for cleaning of chemical vapor deposition (CVD) apparatus and other apparatus. Such a system should provide a reasonably constant ion density from a stable plasma and should not expose the processing chamber to the potentially harmful effects of a glow discharge. It is further desirable that the remote plasma system be simple, preferably small enough to fit on the lid of a processing chamber.

The present invention provides apparatus for microwave plasma-generating systems, and processes for forming a microwave-generated plasma. A microwave plasma-generating system may be incorporated with a CVD system to provide a plasma that is used to efficiently clean a processing chamber of the CVD system, for example. Plasma from the microwave plasma-generating system may also be used for etching, layer deposition, or other processes.

In one embodiment, a microwave plasma source module includes a resonator cavity with a side wall, a near-end wall, and a far-end wall. The walls are electrically conductive and coupled to each other, and define an interior volume of the resonator cavity. The resonator cavity contains a plasma discharge tube that has an inlet port and an outlet port. The inlet port is closer to the near-end wall and the outlet port is closer to the far-end wall. Power from a microwave power source may be coupled into the resonator cavity using various means, such as a launcher, an antenna, an aperture, or a window in a waveguide. The power is coupled into the resonator cavity substantially adjacent to the near-end wall of the resonator cavity. The gas flow is such that fresh process gas entering from the inlet port dilutes the plasma density in the proximity of the microwave coupler, thus reducing the microwave energy reflected from the plasma and enhancing the conversion of microwave energy to plasma. In some embodiments, the plasma discharge tube occupies substantially the entire volume of the resonator cavity, thereby providing a large interaction volume between the microwave energy within the resonator cavity and the process gas within the discharge tube.

When the microwave plasma source module is used with a processing chamber, the compact size of the microwave plasma source module allows it to be mounted on or very near to the processing chamber. An applicator tube delivers the plasma from the discharge tube to the processing chamber. The close proximity of the module to the chamber allows the volume of the applicator tube to be substantially less than the volume of the discharge tube, thereby reducing the recombination of reactive plasma species before those reactive species may be used in the processing chamber. When the microwave plasma source module is used with a processing chamber, a photodetector may be used to detect when a glow discharge is bowing out of the discharge and applicator tubes into the processing chamber. The photodetector may be used to control the amount of microwave energy within the resonator cavity, and thereby limit the incursion of a glow discharge into the processing chamber.

A further understanding of the objects and advantages of the present invention may be realized by reference to the remaining portions of the specification and the attached drawings.

FIG. 1 is a diagram of a conventional remote plasma system using a waveguide to irradiate an applicator tube;

FIG. 2 is a diagram of a conventional remote plasma system using an applicator tube mounted transversely in a cavity;

FIG. 3A is a cross-sectional view of one embodiment of a chemical vapor deposition apparatus according to the present invention.

FIGS. 3B and 3C are exploded perspective views of parts of the CVD chamber depicted in FIG. 3A;

FIG. 4 is a cross-sectional view of one embodiment of a remote plasma system and processing chamber;

FIG. 5 is a cross-sectional view of another embodiment of a remote plasma system and processing chamber utilizing a metal-coated discharge tube;

FIG. 6 is a cross-sectional view of another embodiment of a remote plasma system and processing chamber incorporating a photodiode to control the incursion of a glow discharge into the processing chamber.

FIG. 7 is a simplified cross-sectional view of another embodiment of a remote plasma system and processing chamber incorporating a glow discharge detector and an adjustable aperture.

FIG. 8. is a simplified cross-sectional view of a remote plasma generator mounted on a lid of a substrate-processing chamber.

The remote generation of a plasma for use in a process may be desirable for many reasons. One reason is that a higher concentration of the desired plasma species may be obtainable than if the plasma was generated in situ. Another reason is that the process zone is not bombarded with high-energy particles, or directly exposed to the light or heat generated by the glow discharge.

I. Exemplary CVD System

Specific embodiments of the present invention may be used with or retrofitted onto a variety of substrate-processing systems. One suitable CVD system with which the present invention can be used or retrofitted is shown in FIG. 3A which is a vertical, cross-sectional view of a CVD system 10, having a vacuum or processing chamber 15 that includes a chamber wall 15a and chamber lid assembly 15b. Chamber wall 15a and chamber lid assembly 15b are shown in exploded, perspective views in FIGS. 3B and 3C.

The chamber lid assembly 15b includes a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heater-pedestal 12 centered within the process chamber. During processing, the substrate (e.g., a semiconductor wafer) is positioned on a flat (or slightly convex) upper surface 14 of heater-pedestal 12. Heater-pedestal 12 can be moved controllably between a lower loading/off-loading position and an upper processing position (not shown). A centerboard (not shown) includes sensors for providing information on the position of the wafers, which may be monitored by a system controller 34.

The system controller 34 may be configured to monitor and control various aspects of the deposition system 10, such as the wafer position, the gas flows, RF or microwave power, and chamber pressure through sensor lines (not shown) and control lines 36 (only some of which are shown). The system controller 34 receives control signal information from various sensors, such as optical sensors, thermocouples, mass flow controllers, and manometers. The processor 37 may determine whether any adjustments are necessary according to a processing program that may be stored in the memory 38. For example, during a remote plasma clean, the system controller may turn on a process gas flow from a gas source 7 to the remote plasma system 901, set and maintain a chamber pressure by reading a manometer (not shown) and adjusting the throttle valve position with a stepper motor (not shown), and then energize the remote plasma system's microwave source (not shown). Other processes may be similarly controlled by the system controller according to other programs stored in the memory 38.

Deposition and carrier gases are introduced into chamber 15 through perforated holes 13b (FIG. 3C) of a flat, circular gas-distribution faceplate 13a. More specifically, deposition process gases flow (indicated by arrow 40 in FIG. 3A) into the chamber through the inlet manifold 11, through a conventional perforated blocker plate 42, and then through holes 13b in gas distribution faceplate 13a.

Before reaching the manifold, deposition and carrier gases are input from gas sources 7 through gas supply lines 8 (FIG. 3A) into a gas-mixing block 9, where they may be combined prior to flowing to manifold 11. Generally, the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure and control the flow of gas through the supply line. When toxic gases are used in the process, the several safety shut-off valves are positioned on each gas supply line in conventional configurations.

Deposition processes performed in reactor 10 can be either plasma-enhanced or non-plasma-enhanced process. In a plasma-enhanced process, an RF power supply 44 may generate an electric field between the gas distribution faceplate 13a and heater-pedestal 12, which forms a plasma from the process gas mixture within the cylindrical region between the faceplate 13a and heater-pedestal 12. Constituents of the plasma may react to deposit a film on chamber surfaces, such as the exposed portion of heater-pedestal 12, as well as on the surface of the semiconductor wafer. Of course, other substrate-processing systems may not have an RF power supply or the capability to form an in situ plasma. Incorporation of a remote plasma generator may be especially desirable in a substrate processing system without in situ plasma capability, but a remote plasma generator may also be incorporated into other types of systems, such as the present system.

RF power supply 44 can be a mixed-frequency RF power supply, which means that it may supply power at a higher RF frequency (RF1) of 13.56 MHz, and at a lower RF frequency (RF2), for example, 360 KHz, to enhance the deposition process. Of course, RF power supply 44 can supply either single- or mixed-frequency RF power (or other desired variations) to manifold 11 to enhance the decomposition of reactive species introduced into chamber 15.

In a thermal process, RF power supply 44 is not utilized, and the process gas mixture thermally reacts to deposit the desired film on the surface of the semiconductor wafer supported on heater-pedestal 12, which may be heated with a heater (not shown), such as an electrical resistance heater, to provide the thermal energy needed for the reaction to proceed.

During a plasma-enhanced deposition process, the plasma heats adjacent portions of reactor 10, including the walls of the chamber body 15a surrounding the exhaust passageway 23 and the vacuum shut-off valve 24. During a thermal deposition process, heater-pedestal 12 radiates heat to portions of reactor 10. When the plasma is not turned on, or during a thermal deposition process, a temperature-controlled liquid is circulated through the walls 15a of reactor 10 to maintain the chamber at a selected temperature, typically above ambient temperature. Fluids used to heat the chamber walls 15a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids. This heating reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and contaminants that may otherwise condense on the walls of the cooler vacuum passages and migrate back into the processing chamber during periods of no gas flow.

The portion of the gas mixture that is not deposited in a layer, including the reactant products, is evacuated from the chamber by a vacuum system 45. Specifically, the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17. The annular slot-shaped orifice 16 and the exhaust plenum 17 are defined by the top of the chamber's cylindrical side wall 15a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20. The circular symmetry and uniformity of the annular slot-shaped orifice 16 and the exhaust plenum 17 achieve a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.

The gases flow underneath a lateral extension portion 21 of the exhaust plenum 17, past a viewing port (not shown), through a downward-extending gas passage 23, past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15a), and into the exhaust outlet 25. A throttle valve 46 may be used to control the exhaust capacity and therefore the chamber pressure at a particular inlet gas flow. The throttle valve 46 may be set in a fixed position, or set according to a program stored in the memory 38 based on the chamber pressure, as measured with a manometer (not shown), and controlled with controller 34.

The wafer-support platter of resistively heater-pedestal 12 may be heated using an embedded heater element (not shown) configured to make two full turns in the form of parallel concentric circles, or other appropriate heater element. In a specific embodiment, an outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the shaft of heater-pedestal 12. Heater-pedestal 12 may be made of material including aluminum, stainless steel, alumina, aluminum nitride, or other similar materials or combinations of materials.

Typically, any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or ceramic. An example of such CVD apparatus is described in commonly assigned U.S. Pat. No. 5,558,717 entitled "CVD Processing Chamber," issued to Zhao et al.

The above reactor description is mainly for illustrative purposes, and other equipment such as electron-cyclotron-resonance (ECR)-plasma CVD devices, induction-coupled, RF, high-density-plasma CVD devices, or the like may be used with the present invention to provide upgraded apparatus. Additionally, variations of the above-described system, such as variations in pedestal design, heater design, RF power frequencies, location of RF power connections and others are possible. For example, the wafer could be supported by a susceptor and heated by quartz lamps. The present invention is not limited to use with or retrofitting of any specific apparatus.

II. Specific Embodiments Using A Microwave Magnetron Assembly

According to specific embodiments of the present invention, a CVD apparatus may be provided by attaching a remote microwave plasma source to existing CVD apparatus or by retrofitting the existing CVD apparatus to incorporate a microwave plasma source. Although the discussion below focuses primarily on these specific embodiments, other embodiments within the scope of the invention will be apparent. Also, it should be noted that structures illustrated in FIGS. 5-7 are not necessarily drawn to scale.

FIG. 4 shows a simplified cross-sectional side-view of a remote microwave plasma source module 500 according to a specific embodiment of the present invention, mounted on a processing chamber 510. In the specific embodiment, the entire assembly of the remote microwave plasma source module 500 includes a magnetron 505 mounted directly to a resonator cavity 515 without an intervening waveguide. A plasma discharge tube 520 is disposed through and within the resonator cavity 515. The interior surface of the resonator cavity 515 is electrically conductive, and the resonator cavity is preferably made of a conductive material, such as aluminum, copper, or stainless steel. The resonator cavity has a side wall 516, a near-end wall 517, and a far-end wall 518. According to a specific embodiment, the resonator cavity 515 has a length (lR) of about 7 inches, a width (wR) of about 6 inches, and a height (hR) of about 5.1 inches, and the dominant mode is the TE102 mode, as that mode is commonly known to those skilled in the art, of the microwaves having a frequency of about 2.45 GHz. The diameter of the discharge tube 520 is preferably at least about a quarter-wavelength of the operating microwave frequency, so that the length of the discharge tube 520 coincides with at least one electronic field (E-field) maximum. The length of the discharge tube 520 lies along a longitudinal axis, roughly parallel to the gas flow (indicated by arrows). It is to be understood that the "gas flow" is the net gas flow through the discharge tube, even though turbulence within the discharge tube may create locally transverse gas flows. The discharge tube 520 protects the resonator cavity 515 from the plasma formed within the discharge tube, while transmitting the microwave energy into the plasma. Because a plasma may transfer substantial heat to adjacent surfaces, the discharge tube 520 is fabricated from material that can withstand high temperatures, such as fused silica or alumina, which are dielectrics that transmit the microwave energy into the plasma within the discharge tube 520.

The remote microwave plasma source module 500 uses a magnetron 505 as the source for energy to the resonator cavity 515 for forming a plasma in the discharge tube 520 within the resonator cavity 515. Although a number of different microwave power supplies are available, pulsed, rather than continuous wave (CW), power supplies for powering the magnetron are used. In preferred embodiments, the magnetron 505 is a low-cost magnetron (e.g., the type of magnetron employed in some microwave ovens) operating on a low power, pulsed 60-Hz half-rectified power source to provide microwaves having a frequency of about 2.45 GHz. Such pulsed, low-power supplies for the magnetrons can be at least two orders of magnitude lower in price compared to a CW, high-power microwave generator or an RF generator.

A microwave antenna, or launcher 506 couples microwave power between the magnetron 505 and the resonator cavity 515. The launcher 506 may be, for example, a stub antenna, a slot antenna, or other radiating element that is able to communicate the microwaves from the magnetron 505 to the resonator cavity 515. A gas inlet port 507 is positioned such that the flow of process gas through the discharge tube 520 is longitudinal, rather than transverse, to the launcher 506. This creates a gradient of ion density that has a lower ion concentration near the launcher 506, thus improving the interaction between the gas and the microwave fields.

A gas feed line 575 delivers process gas or gases from the gas source 576 to the discharge tube 520 through the inlet port 507. Halogenated gases or vapors, such as CF4, ClF3, F2, and NF3 may be used as a process gas. Fluorine is a particularly desirable component in a process gas for use in a remote plasma generator because free fluorine radicals, which form in the plasma, readily react with many oxide and nitride deposits. Nitrogen trifluoride (NF3) is desirable in a specific embodiment because it readily produces fluorine radicals in a microwave-generated plasma, resulting in an efficient cleaning process. Perfluorocarbons (PFC's) such as CF4 are less desirable because they may be released into the atmosphere and damage the ozone layer. Chlorine-containing gases, such as ClF3, may leave chlorine-containing residues that may interfere with some wafer processing operations subsequent to the cleaning process, and F2 may be difficult to dissociate into and maintain as free fluorine radicals in a microwave plasma, which may result in a lower yield of radicals and a slower cleaning process.

The gas feed line 575 delivers process gas to the gas inlet port 507, which is positioned between the launcher 506 and the plasma outlet port 508, as shown in FIG. 4, or the inlet port may be essentially coplanar with the launcher. Either configuration produces a gas flow longitudinal to the launcher. Microwave energy from the launcher 506 energizes the gas to form a plasma. Initially, the total volume of the discharge tube is filled with essentially nonconductive gas, providing the magnetron 505 with a relatively high load impedance. The microwave currents travel along the surface of the resonator cavity 515 and create electromagnetic fields within the resonator cavity 515, some of which reach sufficient strength within the discharge tube 520 to ionize the process gas into a plasma without requiring the use of initial ionizing sources, such as UV lamps or spark generators. Sufficiently strong fields typically occur where incident and reflected energy combine to form high field nodes.

As the plasma forms, it becomes more conductive. This has several effects. First, it lowers the load impedance, which may initially improve the efficiency of power transferred from the source. However, as the plasma ion density increases (becoming ever more conductive), the plasma typically reflects a significant portion of the incident microwave energy back to the source. As the plasma reaches the critical ion density (NC), the power absorbed by the plasma will not increase with increased power applied. Accordingly, almost all the microwave power (e.g., except for resistive losses along the cavity walls, etc.) applied to a plasma at NC is reflected. Second, as the plasma becomes more conductive, it is better able to carry currents arising from the electromagnetic fields. Therefore, these fields may not penetrate into the plasma far enough to establish high field nodes within the intended interaction volume. In conventional systems, such as shown in FIGS. 1 and 2, the result is that much of the power incident on the plasma is reflected, rather than being converted to plasma ions, which reduces conversion efficiency of microwave energy to plasma radicals, and may damage the microwave source.

In the present invention, a flow of process gas is admitted into the discharge tube between the launcher 506 and the plasma outlet port 508. This creates a mass flow of gas molecules and plasma ions away from the launcher 506, and into the process chamber 510 through the plasma outlet port 508 and the applicator tube 509. This flow fills the portion of the discharge tube 520 nearest the launcher 506 with a substantially nonionized gas. This ensures that the plasma nearest the microwave source is below NC, because any plasma in this region that was at NC is diluted with substantially nonionized gas, thus allowing greater absorption of microwave energy, and hence greater production of ions and radicals.

In the embodiment of FIG. 4, the discharge tube 520 is disposed through and contained within the resonator cavity 515, such that the discharge tube 520 is substantially coextensive with the resonator cavity 515. It is believed that this provides at least three beneficial effects. First, there may be several high-field nodes within the resonator cavity capable of forming a plasma from the process gas. Providing a large interaction volume within the resonator cavity ensures that the process gas is exposed to more of these high field nodes. Exposing more of the process gas within the discharge tube allows for more efficient conversion of process gas into plasma. Second, ions formed from the process gas at the high-field nodes tend to repel each other. Providing a greater volume within the discharge tube allows for better diffusion of the ions away from high-field nodes. This diffusion lowers the localized concentration of ions near the nodes, resulting in a less reflective plasma in that region and better absorption of microwave energy by the plasma. Third, because the volume of the discharge tube 520 within the resonator cavity 515 is large compared to the total volume of the discharge tube (including the plasma output port 508), most of the process gas entering the discharge tube is irradiated. Therefore, there is little recombination of plasma ions into non-reactive species before those ions are delivered to the process zone 511 within the process chamber 510. Reducing the recombination of ions within the discharge tube improves the concentration, and hence the efficiency, of the plasma delivered to the reaction zone. In one embodiment, the interaction volume within the discharge tube is over 90% of the resonator cavity volume, and the volume of the applicator tube 509 is less than 10% of the total discharge tube volume. The interaction volume within the discharge tube could be increased even further, until it is substantially coextensive with volume of the resonator cavity.

The cavity and discharge tube may have various cross sections. In a specific embodiment, the discharge tube 520 is an alumina tube with a circular cross section. The resonator cavity 515 may also have a circular cross-section, thus minimizing the interior volume of the cavity that is not filled by the discharge tube, however, other configurations are possible. For example, the discharge tube may be circular (or other shape) and the cavity may be rectangular (or other shape).

FIG. 5 shows another embodiment of the invention where a fused silica discharge tube 615 has a conductive coating 620, such as a silver layer, on its outer surface. This configuration provides a discharge tube with a volume that is substantially coextensive with the resonator cavity. Alternatively, a plasma-resistant coating could be applied to a metal cavity to achieve a similar result. A magnetron 605 is joined to an end of the discharge tube 615, where there is a window 604 in the conductive layer that admits microwave energy from a launcher 606. The launcher 606 may be incorporated into the magnetron 605, or may be patterned within a conductive layer on the surface of the silica tube (not shown). In another embodiment, the window 604 may be replaced with an iris (not shown) that couples microwave energy into the cavity. A gas inlet port 607 enters the cavity between the window and a plasma outlet port 608. As in the embodiment shown in FIG. 4, the interaction volume within the discharge tube is over 90% of the resonator cavity volume, and the volume of the applicator tube is less than 10% of the total discharge tube volume.

The remotely generated plasma created by the magnetron source of the present invention consists of ions and radicals that have a purely chemical effect in the reaction zone of the processing chamber. As discussed above, physical sputtering and high energy particles are associated with glow discharges, which may be present with in situ plasmas. The physical effects of a glow discharge may be harmful to the processing chamber, a wafer in process, or may contaminate subsequent wafer processing operations. In some situations, a glow-discharge generated in a remote plasma system may extend beyond the discharge tube and into the processing chamber. This phenomenon is commonly called "bowing", and is undersireable in many instances. Therefore, it is desireable to detect a glow discharge bowing into a processing chamber from a remote plasma system and to take measures to eliminate the bowing.

FIG. 6 is a cross-sectional view of another embodiment of the present invention incorporating a glow discharge detector within the process chamber 510. For example, a photodiode 709 may be used to detect photons, represented by arrows, 702 emitted by the glow discharge 701 when it bows into the process chamber 510 through the plasma output port 708. The photodiode 709 is connected to a power controller 734 that reduces the power to the magnetron 705 when photons are detected, thereby reducing the microwave power delivered to the cavity 715. As the microwave power is decreased, the glow discharge shrinks, returning to the confines of the discharge tube 720. The power controller 734 may reduce the power to the magnetron 705 by reducing the duty cycle of the magnetron 705. FIG. 6 shows additional aspects of an alternative embodiment for coupling energy from the magnetron 705 to the cavity 715. A microwave transmission guide 710 couples microwave energy. from the launcher 706 to a window 707. The microwave transmission guide 710 may be a waveguide or a multimode transmission guide.

FIG. 7 shows an alternative embodiment of a glow-discharge control system where the photodiode 709 controls a conductive variable aperture 803. As is well known to those in the art, a variable aperture may be fabricated in many ways. For example, an iris aperture consisting of many metallic plates that concentrically open and close to define a central aperature, such as is used in some photographic cameras, is one way to form a conductive variable aperature. Another way to fabricate a variable aperture is as a curtain aperture, which may be made of metallic plates, such as two rectangles, that slide across each other to form a variable opening. The conductive variable aperture 803 is between a glow-discharge region 801 and an absorptive medium 804. The absorptive medium 804 may be water or an absorptive gas, for example, and removes microwave energy from the cavity 815 by converting the microwave energy to heat, which may then be dissipated by venting or heat-exchanging, among other means. If the photodiode 709 detects photons emitted from the glow-discharge region bowing into the process chamber 510, an aperture controller 834 opens the conductive variable aperture 803 so that more surface area of the absorptive medium 804 is exposed to the microwave energy inside the cavity 815. This causes more microwave energy to be converted to heat in the absorptive medium and reduces the microwave energy that might otherwise be reflected off the conductive variable aperture 803, allowing the magnetron 805 to operate at a fixed power level. These effects combine to reduce the microwave energy available for sustaining the glow discharge, which may then shrink back into the discharge tube 820, so that the glow discharge does not protrude into the process chamber 510.

FIG. 8 shows an embodiment for mounting a remote plasma system 901 on a chamber lid 15b of a substrate processing system 910. The plasma generated by the remote plasma system 901 flows through a gas mixing block 909 and then through the gas distribution faceplate 13a before flowing into the process chamber 15, as indicated by arrows. This flow pattern provides a high concentration of free fluorine radicals to remove unwanted oxide and nitride deposits from the faceplate 13a and the diffuser plate 942, and especially from the gas distribution holes 13b and the diffuser holes 942b.

While the above is a complete description of specific embodiments of the present invention, various modifications, variations, and alternatives may be employed. For example, the remote plasma source could be attached to the side of a process chamber, rather than the top. Other variations will be apparent to persons of skill in the art. These equivalents and alternatives are intended to be included within the scope of the present invention. Therefore, the scope of this invention should not be limited to the embodiments described, and should instead be defined by the following claims.

Bhatnagar, Yashraj K.

Patent Priority Assignee Title
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11031227, May 18 2018 PERKINELMER SCIENTIFIC CANADA ULC Discharge chambers and ionization devices, methods and systems using them
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11390950, Jan 10 2017 ASM IP HOLDING B V Reactor system and method to reduce residue buildup during a film deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532418, Jul 20 2007 Applied Materials, Inc. RF choke for gas delivery to an RF driven electrode in a plasma processing apparatus
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
6255233, Dec 30 1998 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
6263831, Feb 17 1998 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixtures
6352050, Apr 12 1999 Lam Research Corporation Remote plasma mixer
6412438, Apr 12 1999 Lam Research Corporation Downstream sapphire elbow joint for remote plasma generator
6439155, Apr 12 1999 Lam Research Corporation Remote plasma generator with sliding short tuner
6450116, Apr 22 1999 Applied Materials, Inc Apparatus for exposing a substrate to plasma radicals
6454863, Nov 19 1998 ASM America, Inc. Compact process chamber for improved process uniformity
6490994, Jun 22 1999 Sharp Kabushiki Kaisha Plasma processing apparatus
6506253, Sep 22 2000 Tokyo Electron Limited Photo-excited gas processing apparatus for semiconductor process
6507081, Dec 30 1998 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
6514471, Oct 31 2000 VERSUM MATERIALS US, LLC Removing fluorine from semiconductor processing exhaust gas
6642141, Dec 30 1998 Intel Corporation In-situ silicon nitride and silicon based oxide deposition with graded interface for damascene application
6676760, Aug 16 2001 Appiled Materials, Inc.; Applied Materials, Inc Process chamber having multiple gas distributors and method
6797188, Nov 12 1997 Applied Materials, Inc Self-cleaning process for etching silicon-containing material
6806183, Sep 16 1999 Samsung Electronics Co., Ltd. Methods for forming capacitors on semiconductor substrates
6869485, Nov 19 1998 ASM America, Inc. Compact process chamber for improved process uniformity
6905982, May 15 2001 NEC ELECTRRONICS CORPORATION; Renesas Electronics Corporation Method of manufacturing a semiconductor integrated circuit device
7013834, Apr 19 2002 Nordson Corporation Plasma treatment system
7033952, Jan 05 1999 Berg & Berg Enterprises, LLC Apparatus and method using a remote RF energized plasma for processing semiconductor wafers
7138767, Sep 30 2004 Tokyo Electron Limited Surface wave plasma processing system and method of using
7288491, Aug 11 2000 Applied Materials, Inc Plasma immersion ion implantation process
7465478, Aug 11 2000 Applied Materials, Inc Plasma immersion ion implantation process
7572337, May 26 2004 Applied Materials, Inc Blocker plate bypass to distribute gases in a chemical vapor deposition system
7589470, Jan 31 2006 DUBLIN CITY UNIVERSITY Method and apparatus for producing plasma
7622005, May 26 2004 Applied Materials, Inc Uniformity control for low flow process and chamber to chamber matching
7736996, Apr 15 2005 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for damage avoidance in transferring an ultra-thin layer of crystalline material with high crystalline quality
7829145, May 26 2004 Applied Materials, Inc. Methods of uniformity control for low flow process and chamber to chamber matching
8118946, Nov 30 2007 Quantum Global Technologies LLC Cleaning process residues from substrate processing chamber components
8222125, Aug 12 2010 OVSHINSKY TECHNOLOGIES LLC Plasma deposition of amorphous semiconductors at microwave frequencies
8291857, Jul 03 2008 Applied Materials, Inc Apparatuses and methods for atomic layer deposition
8293015, Jul 03 2008 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
8367005, Jul 12 2007 IMAGINEERING, INC Gas processing apparatus, gas processing system, and gas processing method, and exhaust gas processing system and internal combustion engine using the same
8410935, Jul 10 2008 TELETRACKING TECHNOLOGIES, INC Rotatable tags for automated location and monitoring of moveable objects and related systems
8471477, Mar 26 2010 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
8602005, Mar 14 2008 Imagineering, Inc. Multiple discharge plasma apparatus
8613827, Apr 19 2002 Nordson Corporation Plasma treatment system
8742897, Jul 10 2008 TELETRACKING TECHNOLOGIES, INC Rotatable tags for automated location and monitoring of moveable objects and related systems
8747556, Jul 03 2008 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
8808496, Sep 30 2011 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
8846509, Nov 15 2011 Applied Materials, Inc Remote radical hydride dopant incorporation for delta doping in silicon
8863495, Jul 12 2007 I-LAB ,INC Ignition/chemical reaction promotion/flame holding device, speed-type internal combustion engine, and furnace
9017776, Jul 03 2008 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
9111727, Sep 30 2011 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
9396955, Sep 30 2011 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
9728416, Sep 30 2011 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
ER3967,
ER4489,
ER6015,
ER6328,
ER8750,
Patent Priority Assignee Title
4736304, Apr 07 1986 Energy Conversion Devices, Inc. Method and apparatus for operating one or more deposition systems
4831963, Feb 04 1986 Hitachi, Ltd. Plasma processing apparatus
4946549, Oct 27 1989 AT&T Bell Laboratories Method for fabricating or modifying an article comprising the removal of a polymer coating
5002632, Nov 22 1989 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
5082517, Aug 23 1990 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
5111111, Sep 27 1990 CONSORTIUM FOR SURFACE PROCESSING, INC Method and apparatus for coupling a microwave source in an electron cyclotron resonance system
5133825, Apr 08 1987 Hi Tachi, Ltd. Plasma generating apparatus
5234526, May 24 1991 Lam Research Corporation Window for microwave plasma processing device
5234529, Oct 10 1991 Tokyo Electron Limited Plasma generating apparatus employing capacitive shielding and process for using such apparatus
5266364, Aug 20 1990 Hitachi, LTD Method and apparatus for controlling plasma processing
5306985, Jul 17 1992 Sematech, Inc. ECR apparatus with magnetic coil for plasma refractive index control
5364519, Nov 30 1984 Fujitsu Limited Microwave plasma processing process and apparatus
5387288, May 14 1993 MODULAR PROCESS TECHNOLOGY CORPORATION Apparatus for depositing diamond and refractory materials comprising rotating antenna
5449434, Sep 02 1992 University of North Carolina at Chapel Hill Method for plasma processing at high pressure
5466991, Jul 17 1992 Sematech, Inc. Optimized ECR plasma apparatus with varied microwave window thickness
5567241, Apr 30 1993 BEKAERT ECD SOLAR SYSTEMS, LLC Method and apparatus for the improved microwave deposition of thin films
5645644, Oct 20 1995 Tokyo Electron Limited Plasma processing apparatus
5785807, Sep 26 1990 Hitachi, Ltd. Microwave plasma processing method and apparatus
FR9363609,
JP2295407,
JP5135901,
JP63278396,
WO9421096,
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Apr 18 1997BHATNAGAR, YASHRAJ K Applied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0085230613 pdf
Apr 18 1997BHATNAGAR, YASHRAJ K Applied Materials, IncCORRECTIVE ASSIGNMENT TO CORRECT CORRESPONDENCE ADDRESS AND RECEIVING PARTY ADDRESS AND RECORDATION DATE AN ASSIGNMENT PREVIOUSLY RECORDED ON REEL 8523, FRAME 0613 0086180141 pdf
Apr 22 1997Applied Materials, Inc.(assignment on the face of the patent)
Date Maintenance Fee Events
Jun 27 2003M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jun 21 2007M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Jul 21 2011M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Mar 01 20034 years fee payment window open
Aug 29 20036 months grace period start (w surcharge)
Feb 29 2004patent expiry (for year 4)
Mar 01 20062 years to revive unintentionally abandoned end. (for year 4)
Mar 01 20078 years fee payment window open
Aug 29 20076 months grace period start (w surcharge)
Feb 29 2008patent expiry (for year 8)
Mar 01 20102 years to revive unintentionally abandoned end. (for year 8)
Mar 01 201112 years fee payment window open
Aug 29 20116 months grace period start (w surcharge)
Feb 29 2012patent expiry (for year 12)
Mar 01 20142 years to revive unintentionally abandoned end. (for year 12)