A method of forming a superconducting damascene interconnect structure, and the structure made thereby, the method includes forming a cavity in an interlevel dielectric; forming a barrier layer in the cavity; forming a seed layer in the cavity over the barrier layer; filling the cavity by electrodepositing a Y--Ba--Cu alloy; and annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the barrier layer. In one embodiment, the superconductor has a formula YBa2Cu3O7-x, wherein x≦0.5. In another embodiment, the method includes forming a cavity in an interlevel dielectric; forming a Y--Ba--Cu alloy layer in the cavity; forming a seed layer in the cavity over the Y--Ba--Cu alloy layer; filling the cavity by electrodepositing a Y--Ba--Cu alloy fill; and annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the dielectric.

Patent
   6420189
Priority
Apr 27 2001
Filed
Apr 27 2001
Issued
Jul 16 2002
Expiry
Apr 27 2021
Assg.orig
Entity
Large
125
19
EXPIRED
15. A damascene interconnect in a semiconductor device, comprising a Y--Ba--Cu--O superconductor formed in a cavity in a dielectric material.
1. A method of forming a superconducting damascene interconnect structure, comprising:
forming a cavity in an interlevel dielectric;
forming a barrier layer in the cavity;
forming a seed layer in the cavity over the barrier layer;
filling the cavity by electrodepositing a Y--Ba--Cu alloy; and
annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the barrier layer.
9. A method of forming a superconducting damascene interconnect structure, comprising:
forming a cavity in an interlevel dielectric;
forming a Y--Ba--Cu alloy layer in the cavity;
forming a seed layer in the cavity over the Y--Ba--Cu alloy layer;
filling the cavity by electrodepositing a Y--Ba--Cu alloy fill; and
annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the dielectric.
2. The method of claim 1, wherein the barrier layer comprises one or more of Ta, TaN, TaSiN, TiSiN, WN, Co, Ni, Pd, Mo, W, NiW, NiTa, NiMo, CoW, CoTa, CoMo, PdW, PdTa, and PdMo.
3. The method of claim 2, wherein the barrier layer is formed by CVD, PVD, HCM, electroless, electroplating, IMP, sputtering, or ALD.
4. The method of claim 1, wherein the seed layer is formed from Cu, a Cu--Ag alloy or Ag.
5. The method of claim 4, wherein the seed layer is formed by electrodeposition or electroless deposition.
6. The method of claim 1, wherein the Y--Ba--Cu layer is formed by laser ablation, CVD or sputtering.
7. The method of claim 1, wherein the step of annealing forms the Y--Ba--Cu--O superconductor from the seed layer and the Y--Ba--Cu layer.
8. The method of claim 1, wherein the Y--Ba--Cu--O superconductor has a formula YBa2Cu3d7-x, wherein x≦0.5.
10. The method of claim 9, wherein the Y--Ba--Cu alloy layer is formed by laser ablation, CVD or sputtering.
11. The method of claim 10, wherein the seed layer is formed from Cu, a Cu--Ag alloy or Ag.
12. The method of claim 11, wherein the seed layer is formed by electrodeposition or electroless deposition.
13. The method of claim 10, wherein the step of annealing forms the Y--Ba--Cu--O superconductor from the Y--Ba--Cu alloy layer, the seed layer, and the Y--Ba--Cu alloy fill.
14. The method of claim 1, wherein the Y--Ba--Cu--O superconductor has a formula YBa2Cu3O7-x, wherein x≦0.5.
16. The superconductor damascene interconnect of claim 15, wherein the damascene interconnect is in electrical contact with a second interconnect.
17. The superconductor damascene interconnect of claim 15, wherein the cavity further comprises a barrier layer between the interconnect and the cavity.
18. The superconductor damascene interconnect of claim 17, wherein the barrier layer comprises one or more of Ta, TaN, TaSiN, TiSiN, WN, Co, Ni, Pd, Mo, W, NiW, NiTa, NiMo, CoW, CoTa, CoMo, PdW, PdTa, and PdMo.
19. The superconductor damascene interconnect of claim 17, wherein the cavity further comprises a seed layer between the interconnect and the barrier layer.
20. The superconductor damascene interconnect of claim 15, wherein the Y--Ba--Cu--O superconductor has a formula YBa2Cu3O7-x, wherein x≦0.5.

The present invention relates to a semiconductor device comprising a superconductor damascene interconnect, and a method of manufacturing the semiconductor device. The invention has particular applicability in manufacturing high density semiconductor devices with deep submicron design features which require low RLC delay interconnections between active devices.

Current demands for high density and performance associated with ultra large scale integration (ULSI) require submicron features of significantly less than 0.25 microns, increased transistor and circuit speeds and improved reliability. As feature size decreases, the sizes of the resulting transistors as well as those of the interconnects between transistors also decrease. Fabrication of smaller transistors allows more transistors to be placed on a single monolithic substrate, thereby allowing relatively large circuit systems to be incorporated on a single, relatively small die area. This trend toward reduced feature sizes imposes severe demands on many aspects of IC fabrication, including interconnect formation. In the manufacture of integrated circuits, after the individual devices, such as the transistors, have been fabricated in the silicon substrate, they must be connected together to perform the desired circuit functions. The connections are commonly referred to as interconnects. Narrower interconnects have reduced cross-sectional area, which results in a higher interconnect resistance for a given interconnect material. This interconnect resistance, along with the capacitance of the interconnect with respect to ground and other interconnects, contributes to an RLC (resistive-inductive-capacitive) time constant which characterizes delays associated with propagation along the interconnect line. Fabrication of a circuit with increased RLC time constants lowers the speed at which the circuit can operate by increasing the time needed, for example, for a circuit output voltage to respond to a change in input voltage. Although there are other parasitic resistances and capacitances in an integrated circuit, such as those associated with the transistors themselves, in modem circuits having submicron feature sizes interconnects may contribute as much as 80% of the total circuit delay time. The increased interconnect resistance described above places a limit on how narrow interconnect lines can be and maintain tolerable interconnect resistance. The greater the resistivity of the interconnect material, the wider the lines must be, as discussed further below. As feature size decreases and transistor density increases, multiple layers of interconnect must be used to connect the transistors to each other and to the terminals of the integrated circuit. The limitation discussed above on the narrowness of interconnect lines can exacerbate this need for multiple interconnect layers. Fabrication of each interconnect layer requires deposition and patterning processes, adding to the expense of the circuit and increasing the opportunity for defect incorporation and the resulting yield reduction. It is therefore desirable to minimize the number of interconnect layers required.

Lowering the resistivity of the interconnect material alleviates many of the interconnect-related problems discussed above. Resistance, R, along the length of a structure formed from a given material is related to the resistivity, ρ, of the material by R=ρl/A, where 1 is the length of the structure and A is its cross-sectional area. It can therefore be seen that lowering the resistivity of an interconnect material reduces the resistance of an interconnect line of a given cross-sectional area. Furthermore, a line formed from a lower-resistivity material could be made narrower before an unacceptable resistance level is reached than a line formed from a higher-resistivity material. This ability to form narrower lines may allow fewer interconnect levels to be used to form the required connections for an IC, thereby reducing costs and potentially increasing the yield of correctly-functioning circuits.

Advantages such as those described above of low-resistivity interconnect materials have driven a movement in the semiconductor industry toward replacing aluminum interconnects with interconnects made from copper. The resistivity of pure copper (about 1.7μΩ·cm) is significantly lower than that of pure aluminum (about 2.6μΩ·cm). Both aluminum and copper interconnects typically contain small concentrations of other elements to improve interconnect reliability. These added elements increase the resistivity of the metal, but practical copper interconnects still have resistivities up to 40% lower than those of practical aluminum interconnects. Copper interconnects can therefore be made narrower than aluminum interconnects for a given value of interconnect resistance. This may result in fewer levels of metallization being needed with copper interconnects. For a given interconnect cross-sectional area, copper interconnects exhibit lower resistances, and therefore shorter interconnect-related delays, than do aluminum interconnects.

Because copper is more difficult to etch than aluminum, in addition to difficulties in etching narrow features in metals in general as compared to etching of insulators, copper interconnects are generally formed by a damascene process.

In one connection process, which is called a "dual damascene" technique, two channels of conductive materials, are positioned in vertically separated planes perpendicular to each other and interconnected by a vertical "via" at their closest point.

The first channel part of the dual damascene process starts with the placement of a first channel dielectric layer, which is typically an oxide layer, over the semiconductor devices. A first damascene step photoresist is then placed over the oxide layer and is photolithographically processed to form the pattern of the first channels. An anisotropic oxide etch is then used to etch out the channel oxide layer to form the first channel openings. The damascene step photoresist is stripped and an optional thin adhesion layer is deposited to coat the walls of the first channel opening to ensure good adhesion and electrical contact of subsequent layers to the underlying semiconductor devices. A barrier layer is then deposited on the adhesion layer improve the formation of subsequently deposited conductive material and to act as a barrier material to prevent diffusion of such conductive material into the oxide layer and the semiconductor devices. A first conductive material is then deposited and subjected to a chemical-mechanical polishing process which removes the first conductive material above the first channel oxide layer and damascenes the first conductive material in the first channel openings to form the first channels.

The via formation step of the dual damascene process starts with the deposition of a thin stop nitride over the first channel and the first channel oxide layer. Subsequently, a separating oxide layer is deposited on the stop nitride. This is followed by deposition of a thin via nitride. Then a via step photoresist is used in a photolithographic process to designate round via areas over the first channels.

A nitride etch is then used to etch out the round via areas in the via nitride. The via step photoresist is then removed, or stripped. A second channel dielectric layer, which is typically an oxide layer, is then deposited over the via nitride and the exposed oxide in the via area of the via nitride. A second damascene step photoresist is placed over the second channel oxide layer and is photolithographically processed to form the pattern of the second channels. An anisotropic oxide etch is then used to etch the second channel oxide layer to form the second channel openings and, during the same etching process to etch the via areas down to the thin stop nitride layer above the first channels to form the via openings. The damascene photoresist is then removed, and a nitride etch process removes the nitride above the first channels in the via areas. An adhesion layer is then deposited to coat the via openings and the second channel openings. Next, a barrier layer is deposited on the adhesion layer. This is followed by a deposition of the second conductive material in the second channel openings and the via openings to form the second channel and the via. A second chemical mechanical polishing process leaves the two vertically separated, horizontally perpendicular channels connected by cylindrical vias.

The use of the dual damascene technique eliminates metal etch and dielectric gap fill steps typically used in the metallization process. The elimination of metal etch steps is important as the semiconductor industry moves from aluminum to other metallization materials, such as copper, which are very difficult to etch.

Improvements in circuit speed gained by moving from aluminum to copper have been quite beneficial as circuit speeds have increased by moving the working frequency into the range of about 1 GHz. However, development of integrated circuits having a working frequency in the range from 5 to 50 GHz requires even lower RLC delay interconnections than can be provided by copper. Thus, there exists a need semiconductor devices having interconnections having an RLC delay significantly lower than that provided by copper.

The present invention relates to a superconducting damascene interconnect structure for a semiconductor device, and to a method for fabricating the superconducting damascene interconnect structure. Thus, the present invention solves the problem of providing a significantly reduced RLC delay interconnection by replacing the conventional damascene interconnect materials with a superconductor. In addition, the present invention relates to a method of fabricating the superconducting damascene interconnect structure by a series of steps which are simple and conventional, but which avoid known problems associated with formation of damascene interconnects.

Thus, the present invention provides a method of forming a superconducting damascene interconnect structure, including:

forming a cavity in an interlevel dielectric;

forming a barrier layer in the cavity;

forming a seed layer in the cavity over the barrier layer;

filling the cavity by electrodepositing a Y--Ba--Cu alloy; and

annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the barrier layer. In one embodiment, the Y--Ba--Cu--O superconductor has a formula YBa2Cu3O7-x, wherein x≦0.5.

In another embodiment, the present invention relates to a method of forming a superconducting damascene interconnect structure, including:

forming a cavity in an interlevel dielectric;

forming a Y--Ba--Cu alloy layer in the cavity;

forming a seed layer in the cavity over the Y--Ba--Cu alloy layer;

filling the cavity by electrodepositing a Y--Ba--Cu alloy fill; and

annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the dielectric.

In another embodiment, the present invention relates to a damascene interconnect in a semiconductor device, including a Y--Ba--Cu--O superconductor formed in a cavity in a dielectric material. In one embodiment, the Y--Ba--Cu--O superconductor has a formula YBa2Cu3O7-x, wherein x≦0.5.

Thus, the present invention provides a solution to the problem of providing semiconductor devices having interconnections having an RLC delay significantly lower than that provided by copper. The present invention provides a method for making a device, and a device, having a working frequency in the range from 5 to 50 GHz, which results in lower RLC delay interconnections than can be provided by copper.

FIG. 1, is a plan view of an embodiment of a superconducting damascene interconnect, in accordance with the present invention.

FIG. 2 is a partial cross-sectional view of one embodiment of a superconducting damascene interconnect, taken at line 2--2 of FIG. 1, in accordance with a first embodiment of the present invention.

FIG. 3 is a partial cross-sectional view of another embodiment of a superconducting damascene interconnect, taken at line 2--2 of FIG. 1, in accordance with a second embodiment of the present invention.

FIG. 4 is a partial cross-sectional view of a cavity for an interconnect formed in a dielectric, in accordance with the first embodiment of the present invention.

FIG. 5 is a partial cross-sectional view of a cavity for an interconnect formed in a dielectric, with a barrier layer deposited as a cavity liner, in accordance with the first embodiment of the present invention.

FIG. 6 is a partial cross-sectional view of a cavity for an interconnect formed in a dielectric, with a seed layer formed on a barrier layer, in accordance with the first embodiment of the present invention.

FIG. 7 is a partial cross-sectional view of a filled cavity for an interconnect formed in a dielectric, with a seed layer formed on a barrier layer, and the cavity filled with a superconductor precursor, in accordance with the first embodiment of the present invention.

FIG. 8 is a partial cross-sectional view of a filled cavity for an interconnect, following an annealing step, with a barrier layer remaining, and with the cavity filled with a superconductor, in accordance with the first embodiment of the present invention.

FIG. 9 is a partial cross-sectional view of a another embodiment of a filled cavity for an interconnect, following an annealing step, with a barrier layer and a portion of a seed layer remaining, and with the cavity filled with a superconductor, in accordance with the first embodiment of the present invention.

FIG. 10 is a partial cross-sectional view of a cavity for an interconnect formed in a dielectric, with a first superconductor precursor layer deposited as a cavity liner, in accordance with a second embodiment of the present invention.

FIG. 11 is a partial cross-sectional view of a cavity for an interconnect formed in a dielectric, with a seed layer formed on a first superconductor precursor layer, in accordance with the second embodiment of the present invention.

FIG. 12 is a partial cross-sectional view of a filled cavity for an interconnect formed in a dielectric, with a seed layer formed on a first layer of a superconductor precursor, and the cavity filled with the superconductor precursor, in accordance with the second embodiment of the present invention.

FIG. 13 is a partial cross-sectional view of a filled cavity for an interconnect, following an annealing step, with the cavity filled with a superconductor, in accordance with the second embodiment of the present invention.

FIG. 14 is a flow diagram of a method of fabrication of a first embodiment of a superconductor damascene interconnect.

FIG. 15 is a flow diagram of a method of fabrication of a second embodiment of a superconductor damascene interconnect.

The method of the present invention may be applied to a semiconductor device as a dual damascene or single damascene process. In one embodiment, the process is applied as a dual damascene process, in which the same metal is used for vias and overlying interconnect lines, and both via and line dielectrics are deposited before trench formation and filling. In a single damascene process, vias are formed before deposition of a line dielectric and subsequent trench formation and filling to create interconnect lines. Vias and lines may be formed from different dielectrics in single damascene processes. The same structure or different structures may be obtained by these processes. The method of the present invention is described in terms of a dual damascene process. However, as will be understood by those of skill in the art, the method is applicable to a single damascene process. Thus, as used herein, the term "damascene" refers to both a single damascene process and a dual damascene process.

As used herein, the term "cavity" may refer to either or both of a via or a channel for an interconnect, and any similar structure in which a damascene interconnect may be formed. The channel may also be referred to as a trench before it is filled with a conductive material to form a channel. Thus, when the term "cavity" is used, it is understood that either or both of these terms may be intended. When specific reference to either such term, or to other terms encompassed by the term cavity is intended, such structure will be specifically identified.

In a first embodiment, the present invention relates to a semiconductor device including a damascene superconducting interconnect. In one embodiment, the damascene superconducting interconnect is formed of a Y--Ba--Cu--O superconducting material. In one embodiment, the Y--Ba--Cu--O superconducting material has a chemical formula YBa2Cu3O7-x, wherein x≦0.5.

Referring first to FIG. 1, therein is shown a plan view of a pair of aligned semiconductor damascene channels of a conductive material such as aluminum, copper, tungsten, polysilicon or, in the present invention, a superconductor material, disposed over a production semiconductor wafer 100. A first damascene channel 102 is shown disposed below a second damascene channel 104 which extends substantially perpendicular to the first channel 102 in the plan view. Similarly, a round via 106 connects the first and second damascene channels 102 and 104 and is a part of the second damascene channel 104. In one embodiment, the via 106 is an integral part of the second damascene interconnect channel 104, the via 106 and the second channel 104 being formed as a single structure. The first damascene channel 102 comprises a first conductive material. In one embodiment, the first conductive material is the Y--Ba--Cu--O superconducting material in accordance with the present invention. The second damascene channel 104 is formed by filling a second channel opening 108 disposed in a second channel dielectric layer 110 with a second conductive material. In one embodiment, the second conductive material is the Y--Ba--Cu--O superconducting material in accordance with the present invention.

Referring now to FIG. 2, therein is shown a cross-section, taken along a line 2--2 in FIG. 1, of a semiconductor device 100 in accordance with a first embodiment of the present invention. The first damascene channel 102 may be disposed over active circuit elements such as, e.g., a polysilicon gate and a dielectric of a semiconductor device on an integrated circuit chip (not shown). The first and second damascene channels 102 and 104 are in horizontal planes separated vertically by a stop nitride layer 112, a via dielectric layer 114, and a thin via nitride layer 116. One or more of these layers may be referred to as an interlevel dielectric. The cross-sectional area of the round via 106 of FIG. 1 forms a cylindrical via when it is filled with the second conductive material.

Also shown in the semiconductor device 100 of the embodiment of FIG. 2, disposed around the second damascene channel 104 and the via 106, is a barrier layer 120. The barrier layer 120 separates the second channel 104 and the via 106 from the second channel dielectric layer 110 and the via dielectric layer 114, respectively, and thus from the remainder of the semiconductor device 100. The barrier layer 120 provides insulation between the material of the second channel 104 and the via 106 and the second channel dielectric layer 110 and the via dielectric layer 114, respectively. The barrier layer 120 may act to prevent diffusion, migration or electromigration of metals such as copper from the channel 104 and the via 106 into the adjacent dielectric layers.

The barrier layer 120 may be formed from one or more of Ta, TaN, TaSiN, TiSiN, TiW, or WN or similar materials known in the art. The barrier materials may also include cobalt (Co), nickel (Ni), and palladium (Pd), molybdenum (Mo) and tungsten (W). The barrier may be formed of an alloy, for example, alloys such as NiW, NiTa, NiMo, CoW, CoTa, CoMo, PdW, PdTa, and PdMo.

The barrier layer 120 should be electrically conductive, so as to provide electrical connection between the second channel 104 and the underlying first channel 102. The barrier layer 120 may also promote adhesion of the Y--Ba--Cu--O superconductor material to the material of the adjacent dielectric materials.

Referring now to FIG. 3, therein is shown a cross-section, taken along a line 2--2 in FIG. 1, of a semiconductor device 200 in accordance with a second embodiment of the present invention. This embodiment is similar to the embodiment of FIG. 2 except that it does not include a barrier layer. As in the first embodiment, in the second embodiment, the first damascene channel 102 may be disposed over active circuit elements such as, e.g., a polysilicon gate and a dielectric of a semiconductor device on an integrated circuit chip (not shown). The first and second damascene channels 102 and 104 are in horizontal planes separated vertically by a stop nitride layer 112, a via dielectric layer 114, and a thin via nitride layer 116. The cross-sectional area of the round via 106 of FIG. 1 forms a cylindrical via when it is filled with the second conductive material.

In the second embodiment, shown in FIG. 3, the semiconductor device 200 does not require a barrier layer. In one embodiment, the Y--Ba--Cu--O superconducting material does not include species which may migrate, move or diffuse into the adjacent ILD. In one embodiment, the adjacent ILD is formed of a material, such as a low-k dielectric material, which is not susceptible to migration, movement or diffusion of conductive atoms from the adjacent damascene interconnect or channel.

In the embodiments shown in FIGS. 2 and 3, each of the first damascene channel 102 and the second damascene channel 104 and the cylindrical via 106 may comprise a superconductor material, as described more fully below. The embodiments shown in FIGS. 2 and 3 may include a Y--Ba--Cu--O superconductor formed in either or both of the damascene channels 102 and 104 and the via 106. In one embodiment, both of the damascene channels 102 and 104, and the via 106, comprise a Y--Ba--Cu--O superconductor.

In one embodiment, damascene channels 102, 104 and the via 106 are formed of a Y--Ba--Cu--O superconductor having a formula YBa2Cu3O7-x, wherein x≦0.5. When x is in this range, the Y--Ba--Cu--O superconductor has a high critical temperature, Tc. In one embodiment, the Tc is about 60°C K. to about 92°C K. In one embodiment, the Y--Ba--Cu--O superconductor is formed by a method which includes filling the cavity by first depositing a Y--Ba--Cu alloy and annealing the Y--Ba--Cu alloy in an oxygen flow to form the Y--Ba--Cu--O superconductor. This process is described in greater detail hereinbelow.

In one embodiment, the via dielectric layer 114 and the second channel dielectric layer 110 are formed of a conventional dielectric material, such as silicon dioxide, silicon nitride, or silicon oxynitride. In another embodiment, the via dielectric layer 114 and the second channel dielectric layer 110 are formed of a low-k dielectric. A low-k dielectric is a dielectric material which exhibits a dielectric constant substantially less than conventional dielectric materials. Silicon dioxide has a dielectric constant, k, of about 3.9-4∅ Air has a dielectric constant of 1. A low-k dielectric material has a dielectric constant, k, in the range from about 1.1 to about 3.8. In one embodiment, the low-k dielectric material is a plastic-type polymer, which has a k value in the range of about 2.0 to about 3.5. In one embodiment, the low-k dielectric material is benzocyclobutane ("BCB"). BCB has a dielectric constant of about 2.7. In another embodiment, the low-k dielectric material is a deposition type and/or spin-on type material having a fluorine component.

With respect to the low-k dielectric materials having a fluorine component, fluorine may be introduced into a standard dielectric deposition process or a standard spin-on process. Examples of low-k fluorine incorporated dielectric materials include for example, fluorosilicate glass (FSG), silicon oxyfluoride (FxSiOy), hydrogen silsesquioxane, fluorinated polysilicon, poly-phenylquinoxaline, polyquinoline, methysilsesquixane polymer, and fluoropolymide. The low-k dielectric material may be made by replacing silane (SiH4) with SiF4 in a standard film deposition, which results in the production of a low-k F type film in a deposition plasma reaction. The low-k material may also be formed by any deposition from a fluorine containing ambient (i.e., F-containing ambient) such that a fluorine concentration in the range of 3-20 atom percent is achieved. With F-based films, a k value in the range of 3.0-3.8 is achievable, either from a deposition type formation or a spin-on type formation.

The low-k material may also be organic. Exemplary organic low-k materials include hydrogen silsesquioxane, fluorinated polyimide, poly-phenylquinoxaline, polyquinoline, and methysilsesquixanepolymer.

Air-gap technology may also be used to lower the dielectric constant, k, between superconducting interconnect structures. This technology may include removal of dielectric material between interconnect lines to form air gaps having a k value of 1.

In one embodiment, the present invention relates to a method of forming a superconducting damascene interconnect structure, including the steps of forming a cavity in an interlevel dielectric; forming a barrier layer in the cavity; forming a seed layer in the cavity over the barrier layer; filling the cavity by electrodepositing a Y--Ba--Cu alloy; and annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the barrier layer. Pertinent details of this method to fabricate the device shown in FIG. 2 are set forth in the following, with reference to FIGS. 4-9 and 14. FIG. 14 is a process flow diagram of the steps of the method of this embodiment as outlined here.

As the first step of the method, a semiconductor device 100 is provided, as shown in Step S1401 in FIG. 14. Included in this step, the first channel 102 in a first channel oxide layer (not shown) above portions of a semiconductor device (not shown) is put down using a first damascene process over a production semiconductor wafer 100. The damascene process is a photolithographic process which uses a mask to define a first channel opening (not shown) in the first channel oxide layer. The first channel opening is then filled with the optional adhesion, barrier, and conductive material. The stop nitride layer 112, the via dielectric layer 114, and the via nitride layer 116 would be successively deposited on top of the conductive material in the first channel 102 and the first channel oxide layer using deposition techniques such as those described hereinbelow in more detail with respect to the second channel 104.

Referring to FIG. 4, by using the via photoresist and the via photolithographic process followed by nitride etching of a round via opening 106 in the via nitride layer 116, the basis for the cylindrical via 106 was formed. The subsequent deposition of the second channel dielectric layer 110 prepared the way for the second channel 104 to be perpendicular to the first channel 102.

As shown in FIG. 4 and as Step S1402 in FIG. 14, a cavity forming the channel 104 and the via 106 is formed next. The second damascene process is a photolithographic process which uses a mask to define the second channel opening 108 in the second channel dielectric layer 110. Since the second damascene process uses an anisotropic etch of the dielectric material, the etch also forms the cylindrical via opening 106 down to the stop nitride layer 112. The anisotropic oxide etch etches faster in the vertical direction of FIGS. 2 and 3 than in the horizontal direction. The nitride etch of the stop nitride layer 112 exposes a portion of the first channel conductive material 102 and completes the etching steps, as shown in FIG. 4.

The step of forming a cavity, such as the second channel 104 and the via 106 in an interlevel dielectric such as the second channel dielectric layer 110 and the via dielectric layer 114 may be carried out by any method known in the art for forming such a cavity in a dielectric material. The present invention is not limited to any particular method of cavity formation. The cavity may be formed using photolithographic patterning processes and anisotropic dry etching processes. There are several variations of patterning/etching sequences which may be used to form the cavity. For example, the second channel 104 may be patterned and etched first, followed by patterning and etching of the via 106. Alternatively, with appropriate patterning, both upper and lower parts of the trench may be etched in one step.

Thereafter, as shown in FIG. 5 and as Step S1403 in FIG. 14, the thin barrier layer 120 is deposited on the second channel dielectric layer 110 and the via dielectric layer 114 in the second channel opening 108 and the cylindrical via opening 106. The materials from which the barrier layer 120 may be formed include those disclosed above, and any other equivalent material known in the art. In one embodiment, the barrier layer 120 is deposited directly onto the second channel dielectric layer 110 and the via dielectric layer 114 in the second channel opening 108 and the cylindrical via opening 106, with no intervening materials.

Various metal deposition techniques can be used for the deposition of the barrier layer materials, such techniques include but are not limited to physical vapor deposition, chemical vapor deposition, electroless deposition, electroplating, ion-metal plasma (IMP), hollow cathode magnetron (HCM), sputtering, atomic layer deposition (ALD) or a combination thereof.

In one embodiment, the thickness of the barrier layer is from about 5 mn to about 50 nm. In another embodiment, the thickness of the barrier layer is from about 10 nm to about 30 nm.

Next, as shown in FIG. 6 and as Step S1404 in FIG. 14, a seed layer 122 is a formed over the barrier layer 120. The seed layer 122 may be a metal such as copper, copper-silver alloy, silver, or another highly conductive metal. In one embodiment, the seed layer 122 is copper. In one embodiment, a copper-silver alloy, and in another embodiment, silver. In other embodiments, the seed layer may be a metal such as gold, palladium, platinum, or alloys of any of the above metals. In one embodiment, the seed layer becomes an integral, chemically-reacted part of the superconductor material during subsequent annealing steps, as described more fully below. In another embodiment, a first portion of the seed layer becomes an integral, chemically reacted part of the superconductor material during subsequent annealing steps, while a remaining portion does not so react and thereby retains its character substantially as deposited, as described more fully below.

The seed layer 122 may be deposited by any of the techniques noted above for deposition of the barrier layer 120. In one embodiment, the seed layer 122 is deposited by electrodeposition. In one embodiment, the seed layer 122 is deposited by electroless deposition. The seed layer 122 may be quite thin. In one embodiment, the seed layer 122 is deposited to a thickness of about 1 nm to about 50 nm. In one embodiment, the seed layer 122 is deposited to a thickness of about 5 nm to about 20 nm. In another embodiment, the seed layer 122 is deposited to a thickness of about 1 nm to about 5 mn. In one embodiment, the seed layer 122 is deposited directly onto the barrier layer 120, with no intervening materials.

Next, as shown in FIG. 7 and as Step S1405 in FIG. 14, a material 124 which will eventually form the superconductor material is deposited into the second channel opening 104 and via opening 106. In one embodiment, the material 124 is a Y--Ba--Cu alloy. In one embodiment, the Y--Ba--Cu alloy layer 124 is formed by laser ablation, CVD or sputtering. In another embodiment, the Y--Ba--Cu alloy 124 is deposited by electrodeposition. In other embodiments, the Y--Ba--Cu alloy 124 is deposited using other conventional metal deposition techniques, such as those identified above for deposition of the barrier layer 120.

In depositing the Y--Ba--Cu alloy 124 onto the seed layer 122, which is in turn formed on the barrier layer 120, the Y--Ba--Cu alloy 124 forms a layer over the surface of the semiconductor device 100, as shown in FIG. 7. In one embodiment, the Y--Ba--Cu alloy 124 is deposited directly onto the seed layer 122, with no intervening materials.

As shown in FIGS. 8 and 9, with the Y--Ba--Cu layer 124 in place in the second channel 104 and the via 106, the semiconductor device is subjected to annealing in an oxygen flow, to form a Y--Ba--Cu--O superconductor material 126 from the Y--Ba--Cu layer 124 and at least a portion of the seed layer 122.

The annealing step is carried out in an oxygen flow, in which the oxygen may be provided as ozone, O3, or as oxygen, O2. The ozone may be generated in situ, or provided from an external source. In an embodiment in which ozone, O3, is provided as the source of oxygen flow, the annealing temperature may be reduced as compared to when oxygen, O2, is used. In one embodiment, the oxygen provided includes at least a portion of the oxygen in the form of singlet oxygen, 1O•. The singlet oxygen may be generated from any known source, such as application of high energy, short wavelength UV radiation to a stream of oxygen.

The annealing conditions include exposure to the oxygen flow at temperatures in the range from about 400°C C. to about 900°C C. for periods of time ranging from about 10 minutes to about 1000 minutes. In one embodiment, the annealing temperature is in the range from about 500°C C. to about 800°C C., and in another from 600°C C. to about 700°C C. In one embodiment, the annealing time is in the range from about 90 minutes to about 600 minutes. In one embodiment, the annealing time is in the range from about 150 to about 450 minutes. In another embodiment, the annealing time is in the range from about 180 to about 420 minutes. In another embodiment, the annealing time is in the range from about 240 to about 360 minutes, and in one embodiment, from about 240 to about 300 minutes. With respect to all rates, ratios and ranges disclosed herein, the limits of the rates, ratios and ranges may be combined or interchanged.

The step of annealing in an oxygen flow converts the Y--Ba--Cu alloy 124 and a portion of the seed layer 122 into a Y--Ba--Cu--O superconductor material 126. In the annealing step, the oxygen provided by the oxygen flow reacts with the Y--Ba--Cu alloy 124 and the seed layer 122, to form the Y--Ba--Cu--O superconductor material 126, as shown in FIG. 8. In one embodiment, the Y--Ba--Cu--O superconductor material has a formula YBa2Cu3O7-x, wherein x≦0.5.

In one embodiment, the step of annealing in an oxygen flow converts the Y--Ba--Cu alloy 124 and substantially all of the seed layer 122 into a Y--Ba--Cu--O superconductor material 126, as shown in FIG. 8. In another embodiment, annealing in an oxygen flow converts the Y--Ba--Cu alloy 124 and only a portion of the seed layer 122 into a Y--Ba--Cu--O superconductor material 126, thus leaving a thin seed layer 122 between the superconductor material 126 and the barrier layer 120, as shown in FIG. 9.

Thereafter, a chemical mechanical polishing process is used to complete the process by removing excess superconductor material 126 from the upper surface of the semiconductor wafer 100, to obtain the semiconductor device shown in FIG. 2, in which the Y--Ba--Cu--O superconductor material 126 forms the second channel 104.

As noted above, although not separately described, the same process may be used to form the first channel 102 of a Y--Ba--Cu--O superconductor material by essentially the same steps as described herein, except that it may be unnecessary to form a via.

A method of forming a superconducting damascene interconnect structure, including the steps of forming a cavity in an interlevel dielectric; forming a Y--Ba--Cu alloy layer in the cavity; forming a seed layer in the cavity over the Y--Ba--Cu alloy layer; filling the cavity by electrodepositing a Y--Ba--Cu alloy fill; and annealing in oxygen flow to form a Y--Ba--Cu--O superconductor on the dielectric. Pertinent details of this method to fabricate the device shown in FIG. 3 are set forth in the following, with reference to FIGS. 4, 10-13 and 15. FIG. 15 is a process flow diagram of the steps of the method of this embodiment as outlined here.

In this embodiment, the initial steps, shown as Steps S1501 and S1502 in FIG. 15, are essentially the same as in the previously described embodiment. The semiconductor device 100 is provided in Step S1501. The first channel 102 in a first channel oxide layer (not shown) above portions of a semiconductor device (not shown) is put down using a first damascene process over a production semiconductor wafer 100. The damascene process is a photolithographic process which uses a mask to define a first channel opening (not shown) in the first channel oxide layer. The first channel opening is then filled with the optional adhesion, barrier, and conductive material. The stop nitride layer 112, the via dielectric layer 114, and the via nitride layer 116 would be successively deposited on top of the conductive material in the first channel 102 and the first channel oxide layer using deposition techniques such as those described hereinabove and below in more detail with respect to the second channel 104.

Referring to FIG. 4, by using the via photoresist and the via photolithographic process followed by nitride etching of a round via opening 106 in the via nitride layer 116, the basis for the cylindrical via 106 was formed. The subsequent deposition of the second channel dielectric layer 110 prepared the way for the second channel 104 to be perpendicular to the first channel 102.

As shown in FIG. 4 and in Step S1502 of FIG. 15, the cavity forming the channel 104 and the via 106 is formed next. The second damascene process is a photolithographic process which uses a mask to define the second channel opening 108 in the second channel dielectric layer 110. Since the second damascene process uses an anisotropic etch of the dielectric material, the etch also forms the cylindrical via opening 106 down to the stop nitride layer 112. The anisotropic oxide etch etches faster in the vertical direction of FIGS. 2 and 3 than in the horizontal direction. The nitride etch of the stop nitride layer 112 exposes a portion of the first channel conductive material 102 and completes the etching steps, as shown in FIG. 4.

As noted above, the step of forming a cavity, such as the second channel 104 and the via 106 in an interlevel dielectric such as the second channel dielectric layer 110 and the via dielectric layer 114 may be carried out by any method known in the art for forming such a cavity in a dielectric material. The present invention is not limited to any particular method of cavity formation.

Up to this point, the steps of the second embodiment of the method of the present invention have been the same as in the first embodiment of the method, and the semiconductor device 100 has been the same. Hereafter, the methods diverge, and the semiconductor device is referred to as the semiconductor device 200, since the structure is hereafter different from the semiconductor device 100.

Next, as shown in FIG. 10 and in Step S1503 of FIG. 15, a base Y--Ba--Cu alloy layer 128 is deposited on the second channel dielectric layer 110 and the via dielectric layer 114 in the second channel opening 108 and the cylindrical via opening 106 in the semiconductor device 200. The base Y--Ba--Cu alloy layer 128 may be formed by a deposition method such as laser ablation, CVD or sputtering. In one embodiment, the base Y--Ba--Cu alloy layer 128 is deposited directly onto the second channel dielectric layer 110 and the via dielectric layer 114 in the second channel opening 108 and the cylindrical via opening 106, with no intervening materials.

Various metal deposition techniques can be used for the deposition of the base Y--Ba--Cu alloy layer 128, such techniques include but are not limited to physical vapor deposition, chemical vapor deposition, electroless deposition, electroplating, ion-metal plasma (IMP), hollow cathode magnetron (HCM), sputtering, atomic layer deposition (ALD) or a combination thereof.

In one embodiment, the thickness of the base Y--Ba--Cu alloy layer 128 is from about 5 nm to about 50 nm. In another embodiment, the thickness of the barrier layer is from about 10 nm to about 30 mn.

Next, as shown in FIG. 11 and in Step S1504 of FIG. 15, a seed layer 122 is formed over the base Y--Ba--Cu alloy layer 128 of the semiconductor device 200. The seed layer 122 may be a metal such as copper, copper-silver alloy, silver, or other highly conductive metals. In one embodiment, the seed layer 122 is copper. In one embodiment, the seed layer 122 comprises copper, e.g., copper included in an alloy or a mixture. In one embodiment, the seed layer 122 is a copper-silver alloy, and in another embodiment, the seed layer 122 comprises silver. In other embodiments, the seed layer 122 may be or comprise a metal such as gold, palladium, platinum, or alloys of any of the above metals. In one embodiment, the seed layer 122 becomes an integral, chemically reacted part of the superconductor material during subsequent annealing steps, as described more fully below. In another embodiment, a portion of the seed layer 122 becomes an integral, chemically reacted part of the superconductor material during subsequent annealing steps, while a remaining portion of the seed layer 122 does not so react and thereby retains its character substantially as deposited, as described more fully below. The seed layer 122 in this embodiment may be the same as or different from the seed layer 122 described above for the first embodiment.

The seed layer 122 may be deposited by any of the techniques noted above for deposition of the base Y--Ba--Cu alloy layer 128. In one embodiment, the seed layer 122 is deposited by electrodeposition. The seed layer 122 may be quite thin. In one embodiment, the seed layer 122 is deposited to a thickness of about 1 nm to about 50 nm. In one embodiment, the seed layer 122 is deposited to a thickness of about 5 nm to about 20 nm. In another embodiment, the seed layer 122 is deposited to a thickness of about 1 nm to about 5 nm. In one embodiment, the seed layer 122 is deposited directly onto the barrier layer 120, with no intervening materials.

Next, as shown in FIG. 12 and as Step S1505 in FIG. 15, a material 124 which will eventually form the superconductor material is deposited into and fills the second channel opening 104 and via opening 106. In one embodiment, the material 124 is a Y--Ba--Cu alloy fill. In one embodiment, the Y--Ba--Cu alloy fill 124 is formed by laser ablation, CVD or sputtering. In another embodiment, the Y--Ba--Cu alloy fill 124 is deposited by electrodeposition. In other embodiments, the Y--Ba--Cu alloy fill 124 is deposited using other conventional metal deposition techniques, such as those identified above for deposition of the barrier layer 120.

In depositing the Y--Ba--Cu alloy fill 124 onto the seed layer 122 and filling the channel 104, the Y--Ba--Cu alloy fill 124 forms a layer over the surface of the semiconductor device 100, as shown in FIG. 12. In one embodiment, the Y--Ba--Cu alloy fill 124 is deposited directly onto the seed layer 122, with no intervening materials.

As shown in FIG. 13, with the Y--Ba--Cu alloy fill 124 in place in the second channel 104 and the via 106, the semiconductor device 200 is subjected to annealing in an oxygen flow, to form a second Y--Ba--Cu--superconductor material 130 from the Y--Ba--Cu alloy fill 124, the base Y--Ba--Cu alloy layer 128 and the seed layer 122. The annealing step is carried out in an oxygen flow, in which the oxygen is provided as ozone, O3, or as oxygen, O2. The ozone may be generated in situ, or provided from an external source. In one embodiment, the oxygen provided includes at least a portion of the oxygen in the form of singlet oxygen, 1O•. The singlet oxygen may be generated from any known source, such as application of high energy, short wavelength UV radiation to a stream of oxygen.

The annealing conditions include exposure to the oxygen at temperatures in the range from about 400°C C. to about 900°C C. for periods of time ranging from about 10 minutes to about 1000 minutes. In one embodiment, the annealing temperature is in the range from about 500°C C. to about 800°C C., and in another from 600°C C. to about 700°C C. In one embodiment, the annealing time is in the range from about 30 minutes to about 150 minutes.

The step of annealing in an oxygen flow converts the Y--Ba--Cu alloy fill 124, the base Y--Ba--Cu alloy layer 128 and the seed layer 122 into a second Y--Ba--Cu--O superconductor material 130. In the annealing step, the oxygen provided by the oxygen flow reacts with the Y--Ba--Cu alloy fill 124, the base Y--Ba--Cu alloy layer 128 and the seed layer 122, to form the Y--Ba--Cu--O superconductor material 130, as shown in FIG. 13. In one embodiment, the Y--Ba--Cu--O superconductor material has a formula YBa2Cu3O7-x, wherein x≦0.5.

In this embodiment, the step of annealing in an oxygen flow converts the Y--Ba--Cu alloy fill 124, the base Y--Ba--Cu alloy layer 128 and substantially all of the seed layer 122 into a Y--Ba--Cu--O superconductor material 130, as shown in FIG. 13.

Thereafter, a chemical mechanical polishing process is used to complete the process by removing excess second superconductor material 130 from the upper surface of the semiconductor wafer 100, to obtain the semiconductor device shown in FIG. 3, in which the Y--Ba--Cu--O superconductor material 130 forms the second channel 104, and in which no barrier layer remains between the Y--Ba--Cu--O superconductor material 130 and the adjacent dielectric materials.

As noted above, although not separately described, the same process could be used to form the first channel 102 of a Y--Ba--Cu--O superconductor material by essentially the same steps as described herein.

What has been described above are certain embodiments of the present invention. It is, of course, not possible to describe every conceivable combination of components or methodologies for purposes of describing the present invention, but one of ordinary skill in the art will recognize that many further combinations and permutations of the present invention are possible. Accordingly, the present invention is intended to embrace all such alterations, modifications and variations that fall within the spirit and scope of the appended claims.

Lopatin, Sergey

Patent Priority Assignee Title
10043880, Apr 22 2011 ASM International N.V. Metal silicide, metal germanide, methods for making the same
10199234, Oct 02 2015 ASM IP Holding B.V. Methods of forming metal silicides
10553440, Aug 23 2011 ASM International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
11856871, Nov 13 2018 D-Wave Systems Inc. Quantum processors
6720027, Apr 08 2002 Applied Materials, Inc Cyclical deposition of a variable content titanium silicon nitride layer
6720248, Apr 01 2002 Hynix Semiconductor Inc. Method of forming metal interconnection layer in semiconductor device
6797340, Oct 10 2001 Applied Materials, Inc Method for depositing refractory metal layers employing sequential deposition techniques
6809026, Dec 21 2001 Applied Materials, Inc Selective deposition of a barrier layer on a metal film
6821563, Oct 02 2002 Applied Materials, Inc.; Applied Materials, Inc Gas distribution system for cyclical layer deposition
6827978, Feb 11 2002 Applied Materials, Inc. Deposition of tungsten films
6831004, Jun 27 2000 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
6833161, Feb 26 2002 Applied Materials, Inc Cyclical deposition of tungsten nitride for metal oxide gate electrode
6838125, Jul 10 2002 Applied Materials, Inc.; Applied Materials, Inc Method of film deposition using activated precursor gases
6846516, Apr 08 2002 Applied Materials, Inc Multiple precursor cyclical deposition system
6849545, Jun 20 2001 Applied Materials, Inc.; Applied Materials, Inc System and method to form a composite film stack utilizing sequential deposition techniques
6855368, Jun 28 2000 Applied Materials, Inc Method and system for controlling the presence of fluorine in refractory metal layers
6916398, Oct 26 2001 Applied Materials, Inc Gas delivery apparatus and method for atomic layer deposition
6936538, Jul 16 2001 Applied Materials, Inc.; Applied Materials, Inc Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
6939804, Jul 16 2001 Applied Materials, Inc.; Applied Materials, Inc Formation of composite tungsten films
6998014, Jan 26 2002 Applied Materials, Inc Apparatus and method for plasma assisted deposition
7033922, Jun 28 2000 Applied Materials. Inc. Method and system for controlling the presence of fluorine in refractory metal layers
7049226, Sep 26 2001 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
7077860, Apr 24 1997 Advanced Cardiovascular Systems, Inc. Method of reducing or eliminating thrombus formation
7094685, Jan 26 2002 Applied Materials, Inc. Integration of titanium and titanium nitride layers
7105928, Oct 10 2003 Taiwan Semiconductor Manufacturing Company, Ltd. Copper wiring with high temperature superconductor (HTS) layer
7115494, Jun 28 2000 Applied Materials, Inc. Method and system for controlling the presence of fluorine in refractory metal layers
7115499, Feb 26 2002 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
7198675, Sep 30 2003 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
7208413, Jun 27 2000 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
7211144, Jul 13 2001 Applied Materials, Inc Pulsed nucleation deposition of tungsten layers
7211508, Jun 18 2003 Applied Materials, Inc Atomic layer deposition of tantalum based barrier materials
7220451, Jan 29 2002 ASM International N.V. Process for producing metal thin films by ALD
7220673, Jun 28 2000 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
7235486, Jun 28 2000 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
7238552, Jul 16 2001 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
7258891, Jun 28 2001 Advanced Cardiovascular Systems, Inc. Stent mounting assembly and a method of using the same to coat a stent
7262133, Jan 07 2003 Applied Materials, Inc Enhancement of copper line reliability using thin ALD tan film to cap the copper line
7279432, Apr 16 2002 Applied Materials, Inc System and method for forming an integrated barrier layer
7297159, Oct 26 2000 Advanced Cardiovascular Systems, Inc. Selective coating of medical devices
7384867, Jul 16 2001 Applied Materials, Inc. Formation of composite tungsten films
7405158, Jun 28 2000 Applied Materials, Inc Methods for depositing tungsten layers employing atomic layer deposition techniques
7416979, Jul 25 2001 Applied Materials, Inc. Deposition methods for barrier and tungsten materials
7429402, Dec 10 2004 Applied Materials, Inc Ruthenium as an underlayer for tungsten film deposition
7429516, Feb 26 2002 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
7439137, May 12 2004 DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT Method for manufacturing semiconductor device
7465665, Jun 28 2000 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
7465666, Jun 28 2000 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
7470611, Oct 01 1998 Applied Materials, Inc. In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
7473638, Jan 26 2002 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
7494908, Sep 26 2001 Applied Materials, Inc. Apparatus for integration of barrier layer and seed layer
7501343, Jun 27 2000 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
7501344, Jun 27 2000 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
7553377, Apr 27 2004 Advanced Cardiovascular Systems, Inc. Apparatus and method for electrostatic coating of an abluminal stent surface
7563324, Dec 29 2003 Advanced Cardiovascular Systems Inc. System and method for coating an implantable medical device
7595263, Jun 18 2003 Applied Materials, Inc. Atomic layer deposition of barrier materials
7604700, Sep 30 2003 Advanced Cardiovascular Systems, Inc. Stent mandrel fixture and method for selectively coating surfaces of a stent
7605083, Jul 16 2001 Applied Materials, Inc. Formation of composite tungsten films
7611990, Jul 25 2001 Applied Materials, Inc. Deposition methods for barrier and tungsten materials
7612451, Jul 13 2006 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures by forming an inter-layer
7632307, Dec 16 2004 Advanced Cardiovascular Systems, INC Abluminal, multilayer coating constructs for drug-delivery stents
7655564, Dec 12 2007 ASM JAPAN K K Method for forming Ta-Ru liner layer for Cu wiring
7666773, Mar 15 2005 ASM INTERNATIONAL N V Selective deposition of noble metal thin films
7670945, Oct 01 1998 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
7674715, Jun 28 2000 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
7682946, Nov 04 2005 Applied Materials, Inc Apparatus and process for plasma-enhanced atomic layer deposition
7695563, Jul 13 2001 Applied Materials, Inc Pulsed deposition process for tungsten nucleation
7709385, Jun 28 2000 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
7732325, Jan 26 2002 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
7732327, Jun 28 2000 Applied Materials, Inc Vapor deposition of tungsten materials
7745329, Feb 26 2002 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
7745333, Jun 28 2000 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
7749815, Jul 16 2001 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
7779784, Jan 26 2002 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
7780785, Oct 26 2001 Applied Materials, Inc Gas delivery apparatus for atomic layer deposition
7780788, Oct 26 2001 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
7799674, Feb 19 2008 ASM JAPAN K K Ruthenium alloy film for copper interconnects
7846840, Jun 28 2000 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
7850779, Nov 04 2005 Applied Materials, Inc Apparatus and process for plasma-enhanced atomic layer deposition
7867547, Dec 19 2005 Advanced Cardiovascular Systems, INC Selectively coating luminal surfaces of stents
7867914, Apr 16 2002 Applied Materials, Inc. System and method for forming an integrated barrier layer
7919862, May 08 2006 Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd Reducing resistivity in interconnect structures of integrated circuits
7955979, May 15 2000 ASM International N.V. Method of growing electrical conductors
7956465, May 08 2006 Taiwan Semiconductor Manufacturing Company, Ltd Reducing resistivity in interconnect structures of integrated circuits
7964505, Jan 19 2005 Applied Materials, Inc Atomic layer deposition of tungsten materials
7985669, Mar 15 2005 ASM International N.V. Selective deposition of noble metal thin films
8003156, May 04 2006 Advanced Cardiovascular Systems, INC Rotatable support elements for stents
8017237, Jun 23 2006 ABBOTT CARDIOVASCULAR SYSTEMS, INC Nanoshells on polymers
8025922, Mar 15 2005 ASM INTERNATIONAL N V Enhanced deposition of noble metals
8048441, Jun 25 2007 ABBOTT CARDIOVASCULAR SYSTEMS, INC; ABBOTT CARDIOVASCULAR SYSTEMS INC Nanobead releasing medical devices
8048448, Jun 15 2006 ABBOTT CARDIOVASCULAR SYSTEMS, INC Nanoshells for drug delivery
8084104, Aug 29 2008 ASM JAPAN K K Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
8110489, Jul 25 2001 Applied Materials, Inc Process for forming cobalt-containing materials
8133555, Oct 14 2008 ASM JAPAN K K Method for forming metal film by ALD using beta-diketone metal complex
8187970, Jul 25 2001 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
8197879, Sep 30 2003 Advanced Cardiovascular Systems, Inc. Method for selectively coating surfaces of a stent
8227335, Oct 31 2002 Intel Corporation Forming a copper diffusion barrier
8242016, May 14 2007 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for reducing copper line resistivity
8273408, Oct 17 2007 ASM KOREA LTD Methods of depositing a ruthenium film
8293367, Jun 23 2006 Advanced Cardiovascular Systems, Inc. Nanoshells on polymers
8329569, Jul 31 2009 ASM IP HOLDING B V Deposition of ruthenium or ruthenium dioxide
8426307, May 08 2006 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistivity in interconnect structures of integrated circuits
8465789, May 04 2006 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
8501275, Mar 15 2005 ASM International N.V. Enhanced deposition of noble metals
8536058, May 15 2000 ASM International N.V. Method of growing electrical conductors
8563424, Jul 25 2001 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
8592036, Jun 23 2006 Abbott Cardiovascular Systems Inc. Nanoshells on polymers
8596215, May 04 2006 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
8603530, Jun 14 2006 ABBOTT CARDIOVASCULAR SYSTEMS INC Nanoshell therapy
8637110, May 04 2006 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
8668776, Oct 26 2001 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
8741379, May 04 2006 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
8759975, May 14 2007 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for reducing copper line resistivity
8808342, Jun 14 2006 Abbott Cardiovascular Systems Inc. Nanoshell therapy
8927403, Mar 15 2005 ASM International N.V. Selective deposition of noble metal thin films
9032906, Nov 04 2005 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
9051641, Jul 25 2001 Applied Materials, Inc Cobalt deposition on barrier surfaces
9129897, Apr 22 2011 ASM INTERNATIONAL N V Metal silicide, metal germanide, methods for making the same
9178039, Dec 06 2012 Samsung Electronics Co., Ltd. Semiconductor device
9209074, Jul 25 2001 Applied Materials, Inc. Cobalt deposition on barrier surfaces
9379011, Aug 23 2011 ASM INTERNATIONAL N V Methods for depositing nickel films and for making nickel silicide and nickel germanide
9469899, Mar 15 2005 ASM International N.V. Selective deposition of noble metal thin films
9587307, Mar 15 2005 ASM International N.V. Enhanced deposition of noble metals
9607842, Oct 02 2015 ASM IP Holding B.V. Methods of forming metal silicides
9634106, Apr 22 2011 ASM International N.V. Doped metal germanide and methods for making the same
9754943, Sep 21 2016 United Microelectronics Corp.; Fujian Jinhua Integrated Circuit Co., Ltd. Dynamic random access memory device
Patent Priority Assignee Title
5183800, Jul 15 1987 Sharp Kabushiki Kaisha Interconnection method for semiconductor device comprising a high-temperature superconductive material
5439780, Apr 29 1992 AT&T Corp.; American Telephone and Telegraph Company Energy sensitive materials and methods for their use
5593918, Apr 22 1994 Bell Semiconductor, LLC Techniques for forming superconductive lines
5659201, Jun 05 1995 GLOBALFOUNDRIES Inc High conductivity interconnection line
5811375, Dec 02 1991 Sumitomo Electric Industries Ltd. Superconducting multilayer interconnection formed of oxide superconductor material and method for manufacturing the same
5900668, Nov 30 1995 Advanced Micro Devices, Inc. Low capacitance interconnection
6016000, Apr 22 1998 CVC, INC Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
6042998, Sep 17 1997 STC UNM Method and apparatus for extending spatial frequencies in photolithography images
6071809, Sep 25 1998 Newport Fab, LLC Methods for forming high-performing dual-damascene interconnect structures
6083842, Feb 19 1999 GLOBALFOUNDRIES Inc Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug
6096641, Jan 19 1999 Acacia Research Group LLC Method of manufacturing semiconductor device
6103624, Apr 15 1999 GLOBALFOUNDRIES Inc Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
6124198, Apr 22 1998 CVC, Inc. Ultra high-speed chip interconnect using free-space dielectrics
6127263, Jul 10 1998 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
6133051, Jun 30 1998 Polaris Innovations Limited Amorphously deposited metal oxide ceramic films
6144096, Oct 05 1998 Advanced Micro Devices, Inc. Low resistivity semiconductor barrier layers and manufacturing method therefor
6144099, Mar 30 1999 Advanced Micro Devices, Inc. Semiconductor metalization barrier
6157081, Mar 10 1999 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
6174799, Jan 05 1999 GLOBALFOUNDRIES Inc Graded compound seed layers for semiconductors
////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Apr 23 2001LOPATIN, SERGEYAdvanced Micro Devices, INCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0117580045 pdf
Apr 27 2001Advanced Micro Devices, Inc.(assignment on the face of the patent)
Jun 30 2009Advanced Micro Devices, INCGLOBALFOUNDRIES IncAFFIRMATION OF PATENT ASSIGNMENT0231190083 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES U S INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0569870001 pdf
Date Maintenance Fee Events
Dec 28 2005M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Dec 22 2009M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Feb 21 2014REM: Maintenance Fee Reminder Mailed.
Jul 16 2014EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Jul 16 20054 years fee payment window open
Jan 16 20066 months grace period start (w surcharge)
Jul 16 2006patent expiry (for year 4)
Jul 16 20082 years to revive unintentionally abandoned end. (for year 4)
Jul 16 20098 years fee payment window open
Jan 16 20106 months grace period start (w surcharge)
Jul 16 2010patent expiry (for year 8)
Jul 16 20122 years to revive unintentionally abandoned end. (for year 8)
Jul 16 201312 years fee payment window open
Jan 16 20146 months grace period start (w surcharge)
Jul 16 2014patent expiry (for year 12)
Jul 16 20162 years to revive unintentionally abandoned end. (for year 12)