The invention includes a semiconductor processing method. A first material comprising silicon and nitrogen is formed. A second material is formed over the first material, and the second material comprises silicon and less nitrogen, by atom percent, than the first material. An imagable material is formed on the second material, and patterned. A pattern is then transferred from the patterned imagable material to the first and second materials. The invention also includes a structure comprising a first layer of silicon nitride over a substrate, and a second layer on the first layer. The second layer comprises silicon and is free of nitrogen. The structure further comprises a third layer consisting essentially of imagable material on the second layer.

Patent
   6440860
Priority
Jan 18 2000
Filed
Jan 18 2000
Issued
Aug 27 2002
Expiry
Jan 18 2020
Assg.orig
Entity
Large
18
133
all paid
1. A semiconductor processing method, comprising:
forming a first material comprising silicon and nitrogen on a substrate;
forming a second material over the first material, the second material comprising silicon and less nitrogen, by atom percent, than the first material;
forming an imagable material on the second material;
patterning the imagable material;
transferring a pattern from the patterned imagable material to the first and second materials;
after transferring the pattern, removing the imagable material;
forming a layer of third material over the second material; and
after removing the imagable material, removing the second material from over the first material.
2. The method of claim 1 wherein the imagable material is photoresist.
3. The method of claim 1 wherein the imagable material is photoresist and the patterning comprises exposing the photoresist to deep UV radiation.
4. The method of claim 1 wherein the second material consists essentially of silicon.
5. The method of claim 1 wherein the second material consists essentially of conductively-doped silicon.
6. The method of claim 1 wherein the second material comprises oxygen.
7. The method of claim 1 wherein the second material comprises silicon dioxide.
8. The method of claim 1 wherein the patterned first material comprises a sidewall, and wherein etching the layer of third material forms a spacer along the sidewall.
9. The method of claim 8 wherein the second material comprises silicon and is substantially free of nitrogen, and the third material comprises silicon nitride.
10. The method of claim 8 wherein the second material comprises conductively-doped silicon and is substantially free of nitrogen, and the third material comprises silicon nitride.

The invention pertains to methods of transferring patterns from photoresists to materials, and also pertains to structures comprising silicon nitride.

A commonly utilized process for patterning structures utilized for integrated circuitry is photolithographic processing. An imagable material (typically photoresist) is provided over a mass which is ultimately to be patterned. Portions of the imagable material are then exposed to radiation, while other portions remain unexposed (in the case of photoresist, the radiation is light). After the exposure, the material is subjected to conditions which selectively remove either the portions of the exposed to radiation, or the portions which were not exposed to radiation. If the imagable material comprises photoresist and the portions exposed to radiation are removed, the photoresist is referred to as a positive photoresist, whereas if the portions which are not exposed to radiation are removed the photoresist is referred to as a negative photoresist. Once the imagable material is patterned, it is utilized as a masking layer for patterning the underlying mass. Specifically, the patterned imagable material covers some portions of the mass, while leaving other portions exposed to an etch which removes the exposed portions. Accordingly, the mass remaining after the etch is in approximately the same pattern as the patterned imagable material formed over the mass.

Photolithographic processing is utilized for patterning numerous materials, including silicon nitride. However, problems can occur during the utilization of photolithographic processing for patterning silicon nitride. Specifically, the pattern formed in silicon nitride is frequently not the same as the pattern which was intended to be formed in the photoresist. Such problem can be particularly severe when utilizing photoresist patterned with deep UV light processing, wherein deep UV light is defined as ultraviolet light having a wavelength of less than or equal to 248 nanometers. It would be desirable to develop methods for avoiding the above-discussed problems.

In one aspect, the invention includes a semiconductor processing method. A first material comprising silicon and nitrogen is formed. A second material is formed over the first material, and the second material comprises silicon and less nitrogen (by atom percent) than the first material. An imagable material is formed on the second material, and patterned. A pattern is then transferred from the patterned imagable material to the first and second materials.

In another aspect, the invention encompasses a method of forming a patterned structure. A first layer comprising silicon and nitrogen is formed over a substrate. A sacrificial layer is formed on the first layer, and comprises less nitrogen (by atom percent) than the first layer. A layer of imagable material is formed on the sacrificial layer and patterned. The patterned structure has a pair of opposing sidewalls extending upwardly from the substrate. A pair of opposing corners are defined where the sidewalls join the substrate. The opposing corners are closer to one another than they would be if the sacrificial layer was absent and the imagable material was on the first layer during the patterning of the imagable material. The sacrificial layer is removed from the patterned structure.

In yet another aspect, the invention encompasses a structure comprising a first layer of silicon nitride over a substrate, and a second layer on the first layer. The second layer comprises silicon and is free of nitrogen. The structure further comprises a third layer consisting essentially of imagable material on the second layer.

Preferred embodiments of the invention are described below with reference to the following accompanying drawings.

FIG. 1 is a fragmentary, diagrammatic, cross-sectional view of a semiconductor wafer fragment.

FIG. 2 is a view of the FIG. 1 fragment shown at a processing step subsequent to that of FIG. 1.

FIG. 3 is a view of the FIG. 1 fragment shown at a processing step subsequent to that of FIG. 2.

FIG. 4 is a diagrammatic, fragmentary, cross-sectional view of a semiconductor wafer fragment.

FIG. 5 is a view of the FIG. 4 fragment shown at a processing step subsequent to that of FIG. 4.

FIG. 6 is a view of the FIG. 4 fragment shown at a processing step subsequent to that of FIG. 5.

FIG. 7 is a view of the FIG. 4 fragment shown at a processing step subsequent to that of FIG. 6.

FIG. 8 is a view of the FIG. 4 fragment shown at a processing step subsequent to that of FIG. 7.

FIG. 9 is a view of the FIG. 4 fragment shown at a processing step subsequent to that of FIG. 8 in accordance with an embodiment of the present invention.

FIG. 10 is a view of the FIG. 4 fragment shown at a processing step subsequent to that of FIG. 8 in accordance with another embodiment of the present invention.

FIG. 11 is a photograph of a semiconductor wafer fragment having structures formed thereover by a particular patterning method.

FIG. 12 is a view of a semiconductor wafer fragment having structures formed thereover by a processing method different than that utilized for forming the structures of FIG. 11.

This disclosure of the invention is submitted in furtherance of the constitutional purposes of the U.S. Patent Laws "to promote the progress of science and useful arts" (Article 1, Section 8).

A method of utilizing photoresist for patterning a silicon nitride material is described with reference to FIGS. 1-3. Referring to FIG. 1, a semiconductor wafer fragment 10 is illustrated at a preliminary step of the method. Fragment 10 comprises a substrate 12 having an upper surface 15. Substrate 12 can comprise, for example, monocrystalline silicon. To aid in interpretation of the claims that follow, the terms "semiconductive substrate" and "semiconductor substrate" are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term "substrate" refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.

Layers 16, 18, 20, 22 and 24 are formed over upper surface 15, and are ultimately to be patterned into a wordline. Accordingly, layer 16 comprises silicon dioxide, layer 18 comprises conductively doped silicon (i.e, silicon doped to a concentration of at least about 1018 atoms/cm3 with a conductivity enhancing dopant), layer 20 comprises a metal (such as, for example, tungsten or titanium), and layer 22 comprises silicon nitride. Layer 22 has an upper surface 23, and layer 24 is formed on (i.e., against) such upper surface. Layer 24 comprises an imagable material, and is described herein to comprise photoresist. It is to be understood, however, that the term "imagable material" can encompasses materials patterned by radiation (or energy) other than light, and can accordingly encompass materials other than photoresist.

Referring to FIG. 2, photoresist 24 is patterned to form blocks 26. Such patterning can comprise, for example, exposing portions of the photoresist to radiation while leaving other portions unexposed, and subsequently selectively removing either the exposed or unexposed portions with a solvent.

Blocks 26 comprise sidewalls 28 which are preferably substantially perpendicular to upper surface 23 of silicon nitride layer 22. However, a problem which occurs during the patterning of photoresist 24 is that photoresist adjacent blocks 26 does not remove as well as photoresist which is further removed from blocks 26. Such results in the formation of foot portions 30 at locations where sidewalls 28 join upper surface 23 of silicon nitride layer 22.

Referring to FIG. 3, blocks 26 are utilized as a mask during an etch of underlying layers 16, 18, 20 and 22 to form wordline stacks 40 from layers 16, 18, 20 and 22. Wordline stacks 40 comprise sidewalls 41 which are substantially perpendicular to upper surface 15 of substrate 12.

As shown, foot portions 30 (FIG. 2) are variabily eroded during formation of wordline stacks 40 so that the stacks have laterally extending portions 42 where the stacks join with substrate 12. Foot portions 30 cause laterally extending portions 42 because the photoresist of foot portions 30 is etched by the conditions which etch layers 16, 18, 20 and 22, and is ultimately removed to allow portions of layers 16, 18, 20 and 22 beneath foot regions 30 to be removed. However, the portions of layers 16, 18, 20 and 22 beneath foot regions 30 are exposed to etching conditions for less time than are portions of layers 16, 18, 20 and 22 that are not beneath foot portions 30. Accordingly, the portions beneath foot portions 30 are etched less than are portions of layers 16, 18, 20 and 22 not beneath foot portions 30, causing formation of laterally extending portions 42. The laterally extending portions 42 extend into a gap between adjacent wordline stacks 40, and thus can affect a critical dimension of a structure (such as a conductive plug or capacitor) subsequently formed between stacks 40.

Sidewalls 41 join upper surface 15 of substrate 12 at a pair of opposing corners 43 relative to one of stacks 40, and a pair of opposing corners 45 relative to another of stacks 40. In many applications it would be desirable if the opposing corners relative to a particular stack were as close together as possible after the patterning of layers 16, 18, 20 and 22. However, laterally extending portions 42 extend a distance between the opposing corners 43, and likewise extend a distance between opposing corners 45.

An aspect of the present invention is a recognition that foot portions 30 of FIG. 2 are due primarily to the formation of imagable material directly on silicon nitride layer 22, and accordingly can be alleviated (or even eliminated) by forming another material between silicon nitride layer 22 and imagable material 24. An embodiment of the present invention is described with reference to a wafer fragment 10a of FIG. 4. In referring to FIG. 4, similar numbering will be used as was used above in describing FIGS. 1-3, with differences indicated by the suffix "a", or by different numerals.

Wafer fragment 10a of FIG. 4, like wafer fragment 10 of FIG. 1, comprises a substrate 12, a silicon dioxide layer 16, a conductively-doped silicon layer 18, a metal layer 20, and a silicon nitride layer 22. However, fragment 10a of FIG. 4 differs from fragment 10 of FIG. 1 in that a imagable-material-supporting mass (or layer) 50 is provided over silicon nitride layer 22. Layer 50 comprises a different material than silicon nitride layer 22. In particular embodiments, layer 50 comprises less nitrogen (by atom percent) than silicon nitride layer 22. For instance, layer 50 can consist essentially of either silicon or conductively doped silicon, and can accordingly be substantially free of nitrogen (with the term "substantially free" understood to mean that layer 50 comprises less than about 10% of the atom percentage of nitrogen of layer 22, and can comprise no nitrogen). Alternatively, layer 50 can consist entirely of silicon or conductively doped silicon, and accordingly be entirely free of nitrogen.

If layer 50 is to comprise, consist of, or consist essentially of either silicon or conductively doped silicon, such layer can be formed by chemical vapor deposition of silicon or polysilicon over layer 22. For instance, the silicon can be deposited utilizing silane, dichlorosilane, or gases of the general formula SixH(2x+2). Preferably, if layer 50 comprises a conductive material, such layer is formed to be less than 150 Angstroms thick, and more preferably less than 100 Angstroms thick, to enable the layer to be easily removed in subsequent processing. Procedures which can be utilized to form such thin silicon layers are atomic layer deposition (ALD), or low pressure chemical vapor deposition (LPCVD) utilizing a pressure of less than 100 mTorr, at a temperature of less than 550°C C. Alternative procedures which could be used for forming thin silicon layers include chemical vapor deposition utilizing a pressure of less than or equal to about 1 Torr, and a temperature of less than or equal to about 650°C C.

In an alternative embodiment of the invention, layer 50 can comprise oxygen, and can, for example, comprise, consist of, or consist essentially of silicon dioxide. If layer 50 is to consist of, or consist essentially of silicon dioxide, such layer can be formed by depositing silicon dioxide over layer 22. Alternatively, if layer 50 is to comprise silicon dioxide, such layer can be formed by subjecting an upper surface of layer 22 to oxidizing conditions. The oxidation of silicon nitride layer 22 can comprise, for example, exposing such layer to an oxygen-containing gas, such as, for example, O2, O3, N2O, NO, etc.

If layer 50 is formed by oxidizing an upper portion of silicon nitride layer 22, the resulting structure can be considered to comprise a silicon nitride material which includes both layer 50 and layer 22, with layer 50 being considered an oxidized portion of the silicon nitride material and layer 22 being considered a non-oxidized portion of the material. Further, the oxidized portion defined by layer 50 can be considered to be an oxide cap over the non-oxidized portion.

One method of improving the oxidation of an outer portion of a silicon nitride layer relative to an inner portion is to form the outer portion to have a higher relative concentration of silicon to nitrogen than does the inner portion. A silicon nitride material having a different relative concentration of silicon to nitrogen at one portion than at another portion can be formed by a chemical vapor deposition (CVD) process utilizing a silicon precursor gas (for example, SiH2Cl2 (dichlorosilane)) and a nitrogen precursor gas (for example, NH3 (ammonia)). In an exemplary process, a substrate is provided within a CVD reaction chamber together with a first ratio of a silicon precursor gas to a nitrogen precursor gas. One portion of silicon nitride layer 22 is then deposited. Subsequently, the ratio of the silicon precursor gas to the nitrogen precursor gas is increased and the other portion of the silicon nitride layer is deposited. Exemplary processing conditions for the CVD process include a pressure of from about 100 mTorr to about 1 Torr, and a temperature of from about 700°C C. to about 800°C C.

In yet another embodiment, layer 50 can comprise silicon, oxygen, and nitrogen, but comprises less nitrogen (by atom percent) than does layer 22. Layer 50 can be formed by, for example, depositing SixOyNz utilizing dichlorosilane and N2O, wherein x is greater than 0 and less than 1, y is greater than 0 and less than 1, and z is greater than 0 and less than 1. Alternatively, layer 50 can be formed from bis-(tertiary butyl amino)-silane (BTBAS).

Referring to FIG. 5, an imagable material layer 24 is formed over imagable-material-supporting layer 50. Imagable material layer 24 is referred to below as comprising photoresist, but it is to be understood that layer 24 can comprise other imagable materials besides photoresist.

Referring to FIG. 6, photoresist 24 is patterned by exposing some portions of resist 24 to radiation while leaving other portions unexposed, and then utilizing a solvent to selectively remove either the exposed or unexposed portions of the photoresist. The patterning forms photoresist 24 into blocks 26a. Blocks 26a comprise sidewalls 28a. Blocks 26a differ from blocks 26 of FIG. 4 in that foot portions 30 (FIG. 4) are missing from blocks 26a. Accordingly, sidewalls 28a of blocks 26a extend substantially perpendicularly from an upper surface of material 50.

Referring to FIG. 7, a pattern is transferred from blocks 26a to underlying materials 16, 18, 20, 22 and 50 to form patterned structures 60 comprising the materials of layers 16, 18, 20, 22 and 50. Patterned structures 60 comprise sidewalls 61 which are coextensive with sidewalls 28a of blocks 26a, and which extend perpendicularly relative to an upper surface of substrate 12. A difference between sidewalls 61 of FIG. 7 and sidewalls 41 of FIG. 3 is that sidewalls 61 lack laterally extending portions (such as the laterally extending portions 42 shown in FIG. 3). Sidewalls 61 join substrate 12 to form opposing corners 63 relative to one of the stacks 60, and opposing corners 65 relative to another of the stacks 60. Opposing corners 63 are closer to one another than opposing corners 43 (FIG. 3), due to the lack of lateral extending portions 42 (FIG. 3) in the FIG. 7 structure. Likewise, opposing corners 65 are closer to one another than opposing corners 45 (FIG. 3). The structure shown in FIG. 7 can be considered to comprise a first layer 22 of silicon nitride over a substrate 20. Such structure can further comprise a second layer 50 which comprises silicon and is free of nitrogen on first layer 22. Additionally, the structure can comprise a third layer 24 consisting essentially of imagable material on second layer 50. Third layer 24 can be, for example, photoresist, and second layer 50 can consist essentially of silicon, conductively doped silicon, or silicon dioxide.

Referring to FIG. 8, photoresist blocks 26a (FIG. 7) are removed and a material 66 is formed over patterned stacks 60, as well as over substrate 12. Material 66 can comprise, for example, an inorganic and electrically insulative material, such as, for example, silicon dioxide or silicon nitride. Material 66 can be formed by, for example, chemical vapor deposition.

The structure of FIG. 8 can be considered to comprise a layer of silicon nitride 22 over a substrate (with the substrate understood to comprise material 12 and layers 16, 18, and 20). The structure further comprises layer 50 over silicon nitride layer 22, and a layer 66 formed on (i.e., against) layer 50. Layer 66 can consist essentially of inorganic material, such as, for example, silicon nitride, silicon dioxide, or SixOyNz (wherein x, y and z are greater than 0), and can comprise a different chemical composition than layer 50. In the structure of FIG. 8, layers 22 and 50 are part of a stack 60 comprising a pair of substantially planar opposing sidewalls 61. Further in the structure of FIG. 8, layer 66 is over the stack 60 comprising layers 50 and 22, as well as along sidewalls 61 of the stack.

FIGS. 9 and 10 illustrate alternative processing which can occur relative to the FIG. 8 structure. Referring first to FIG. 9, material 66 is subjected to anisotropic etching conditions which forms material 66 into spacers 70 extending along sidewalls 61 of stack 60. Such anisotropic etching is conducted for a sufficient period of time to entirely remove material 50 (FIG. 8) from over silicon nitride material 22. The processing of FIG. 9 can be preferred in embodiments in which material 50 comprises a conductive material, such as, for example, conductively doped silicon. If material 50 were not removed in such embodiments, it could short conductive components across an upper surface of stacks 60. The processing of FIG. 9 can be easier to utilize if material 50 is kept thin (i.e., less than 150 Angstroms thick, and more preferably less than 100 Angstroms thick), as the material can then be removed with less etching than could a thicker material. It is noted that substrate 12 may be etched during the removal of material 50. Such etching into substrate 12 is shown in FIG. 8 as trenches 72 formed within regions of substrate 12 that are not covered by spacers 70 or stacks 60.

Material 50 can be considered a sacrificial material relative to the method of FIGS. 4-9. Specifically, the material is provided in the processing of FIGS. 4-6 to improve patterning of a photoresist material, and subsequently removed in the processing of FIG. 9.

The processing of FIG. 10 is similar to that of FIG. 9 in that material 66 of FIG. 8 is etched to form spacers 70. However, the processing of FIG. 10 differs from that of FIG. 9 in that material 50 remains after the etch of material 66. The processing of FIG. 10 can be preferred in embodiments in which material 50 consists of an electrically insulative material, such as, for example, silicon dioxide, or undoped silicon. If the processing of FIG. 10 is utilized, and if material 50 comprises an insulative material, there can be less preference to keeping the material to a thickness of less than 150 Angstroms relative to the advantages of keeping material 50 to a thickness below 150 Angstroms if the material is electrically conductive and to be removed by the processing of FIG. 9.

An improvement which can be obtained utilizing photoresist-supporting mask 50 between a layer of photoresist and a layer of silicon nitride during patterning of the photoresist is evidenced by the photographs of FIGS. 11 and 12. Specifically, FIG. 11 shows a structure wherein photoresist is patterned while on silicon nitride, and FIG. 12 shows a structure wherein photoresist is patterned while on a layer of amorphous silicon that is conductively doped to concentration of about 1020 atoms/cm3 with phosphorus. The structure of FIG. 11 shows photoresist blocks which join an underlying substrate at corners which are less than 90°C (and which specifically comprise foot portions at the locations where the sidewalls join the underlying substrate), whereas the structure of FIG. 12 shows photoresist blocks which join an underlying substrate at corners which are about 90°C.

A silicon nitride layer is formed by chemical vapor deposition with dichlorosilane and ammonia at a temperature of from about 600°C C. to about 800°C C. Subsequently, a layer of silicon is formed on the silicon nitride by chemical vapor deposition utilizing silane at a temperature of from about 500°C C. to about 700°C C. The silicon can then be utilized to support a layer of photoresist formed over the silicon nitride.

A silicon nitride layer is formed by chemical vapor deposition with dichlorosilane and ammonia at a temperature of from about 600°C C. to about 800°C C. Subsequently, a layer of silicon is formed on the silicon nitride by chemical vapor deposition utilizing silane at a temperature of from about 500°C C. to about 700°C C. Finally, the silicon is oxidized by exposure to one or more of N2O, NO, O2, O3, at a temperature of from 500°C C. to about 800°C C. Such forms a layer of silicon dioxide on the silicon nitride. The silicon dioxide can then be utilized to support a layer of photoresist formed over the silicon nitride.

In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Moore, John T., DeBoer, Scott Jeffrey

Patent Priority Assignee Title
6638879, Dec 06 2001 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
6719919, Dec 23 1998 Round Rock Research, LLC Composition of matter
6727173, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks
6828683, Dec 23 1998 Round Rock Research, LLC Semiconductor devices, and semiconductor processing methods
6878507, Feb 25 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods
7045277, Jan 18 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
7067415, Sep 01 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low k interlevel dielectric layer fabrication methods
7078356, Sep 01 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low K interlevel dielectric layer fabrication methods
7151054, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
7279118, Dec 23 1998 Round Rock Research, LLC Compositions of matter and barrier layer compositions
7521354, Sep 01 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low k interlevel dielectric layer fabrication methods
7576400, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Circuitry and gate stacks
7626238, Feb 25 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor devices having antireflective material
7678422, Dec 13 2006 VERSUM MATERIALS US, LLC Cyclic chemical vapor deposition of metal-silicon containing films
7804115, Feb 25 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor constructions having antireflective portions
7825443, Feb 25 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor constructions
8686629, Jun 30 2006 LG DISPLAY CO , LTD Organic light emitting display device with partition wall having first and second tapered structures
8944874, Jun 30 2006 LG Display Co., Ltd. Organic light emitting display and method for fabricating the same
Patent Priority Assignee Title
4158717, Feb 14 1977 Novellus Systems, Inc Silicon nitride film and method of deposition
4444617, Jan 06 1983 Newport Fab, LLC dba Jazz Semiconductor Reactive ion etching of molybdenum silicide and N+ polysilicon
4474975, May 09 1983 The United States of America as represented by the Administrator of the Process for producing tris (N-methylamino) methylsilane
4600671, Sep 12 1983 Canon Kabushiki Kaisha Photoconductive member having light receiving layer of A-(Si-Ge) and N
4648904, Feb 14 1986 Construction Research & Technology GmbH Aqueous systems containing silanes for rendering masonry surfaces water repellant
4695859, Oct 20 1986 Energy Conversion Devices, Inc. Thin film light emitting diode, photonic circuit employing said diode imager employing said circuits
4702936, Sep 20 1984 Applied Materials Japan, Inc. Gas-phase growth process
4805683, Mar 04 1988 International Business Machines Corporation Method for producing a plurality of layers of metallurgy
4833096, Jan 19 1988 Atmel Corporation EEPROM fabrication process
4863755, Oct 16 1987 The Regents of the University of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
4954867, Jun 18 1987 Seiko Instruments Inc Semiconductor device with silicon oxynitride over refractory metal gate electrode in LDD structure
4992306, Feb 01 1990 VERSUM MATERIALS US, LLC Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
5034348, Aug 16 1990 International Business Machines Corp.; International Business Machines Corporation Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
5061509, Aug 25 1989 Kabushiki Kaisha Toshiba Method of manufacturing polyimide thin film and method of manufacturing liquid crystal orientation film of polyimide
5140390, Feb 16 1990 Raytheon Company High speed silicon-on-insulator device
5219613, Jun 13 1990 WACKER SILTRONIC GESELLSCHAFT FUR HALBLEITERMATERIALIEN MBH Process for producing storage-stable surfaces of polished silicon wafers
5234869, Jun 28 1990 Kabushiki Kaisha Toshiba Method of manufacturing silicon nitride film
5260600, Jun 05 1990 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having protective insulating film
5270267, May 31 1989 ZARLINK SEMICONDUCTOR INC Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
5286661, Aug 26 1992 Freescale Semiconductor, Inc Method of forming a bipolar transistor having an emitter overhang
5302366, Mar 28 1991 Phillips Petroleum Company Production of silicon product containing both carbon and nitrogen
5314724, Jan 08 1991 Fujitsu Semiconductor Limited Process for forming silicon oxide film
5340621, Mar 30 1992 Nippon Sheet Glass Co., Ltd. Plasma CVD method
5356515, Oct 19 1990 Tokyo Electron Limited; Kabushiki Kaisha Toshiba Dry etching method
5376591, Jun 08 1992 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing semiconductor device
5405489, Oct 30 1991 Samsung Electronics Co., Ltd. Method for fabricating an interlayer-dielectric film of a semiconductor device by using a plasma treatment prior to reflow
5441797, Apr 27 1993 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
5461003, May 27 1994 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
5470772, Nov 06 1991 Intel Corporation Silicidation method for contactless EPROM related devices
5472827, Dec 30 1991 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
5472829, Dec 30 1991 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
5536857, Jul 05 1994 Visteon Global Technologies, Inc Single source volatile precursor for SiO2.TiO2 powders and films
5541445, Aug 14 1991 TELEDYNE DALSA SEMICONDUCTOR INC High performance passivation for semiconductor devices
5543654, Jan 28 1992 THUNDERBIRD TECHNOLOGIES, INC Contoured-tub fermi-threshold field effect transistor and method of forming same
5554567, Sep 01 1994 MINDSPEED TECHNOLOGIES, INC Method for improving adhesion to a spin-on-glass
5591494, Sep 24 1993 Applied Materials, Inc. Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition
5591566, Dec 30 1991 Sony Corporation Method of forming a resist pattern by using a silicon carbide anti-reflective layer
5600165, Jul 27 1994 Sony Corporation Semiconductor device with antireflection film
5641607, Dec 30 1991 Sony Corporation Anti-reflective layer used to form a semiconductor device
5648202, Dec 30 1991 Sony Corporation Method of forming a photoresist pattern using an anti-reflective
5652187, Oct 30 1991 SAMSUNG ELECTRONICS CO , LTD Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
5656330, Mar 22 1994 FUTABA DENSHI KOGYO K K Resistive element having a resistivity which is thermally stable against heat treatment, and method and apparatus for producing same
5656337, Aug 31 1993 SAMSUNG ELECTRONICS CO , LTD Method of forming a dielectric layer
5661093, Sep 12 1996 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
5667015, Feb 03 1995 BJ Services Company Well barrier
5670297, Dec 30 1991 Sony Corporation Process for the formation of a metal pattern
5674356, Apr 05 1994 Sony Corporation Method for forming a semiconductor device in which an anti reflective layer is formed by varying the composition thereof
5677015, Mar 17 1994 Sony Corporation High dielectric constant material containing tantalum, process for forming high dielectric constant film containing tantalum, and semiconductor device using the same
5677111, Dec 20 1991 Sony Corporation Process for production of micropattern utilizing antireflection film
5691212, Sep 27 1996 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
5698352, Dec 30 1991 Sony Corporation Semiconductor device containing Si, O and N anti-reflective layer
5709741, Feb 28 1995 Dow Corning Toray Silicone Co., Ltd. Water repellent for application to glass and water-repellent glass
5710067, Jun 07 1995 AMD TECHNOLOGIES HOLDINGS, INC ; GLOBALFOUNDRIES Inc Silicon oxime film
5731242, Oct 15 1993 Intel Corporation Self-aligned contact process in semiconductor fabrication
5741721, Feb 01 1994 Quality Microcircuits Corporation Method of forming capacitors and interconnect lines
5744399, Nov 13 1995 Bell Semiconductor, LLC Process for forming low dielectric constant layers using fullerenes
5750442, Sep 25 1995 Micron Technology, Inc. Germanium as an antireflective coating and method of use
5753320, Sep 26 1985 Canon Kabushiki Kaisha Process for forming deposited film
5759755, Aug 08 1993 Samsung Electronics, Co., Ltd. Semiconductor substrate containing anti-reflective layer
5783493, Jan 27 1997 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Method for reducing precipitate defects using a plasma treatment post BPSG etchback
5786039, May 15 1995 France Telecom Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the viscous state and corresponding device
5792689, Apr 11 1997 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
5800877, Aug 09 1996 Canon Sales Co., Inc.; Semiconductor Process Laboratory Co., Ltd. Method for forming a fluorine containing silicon oxide film
5801399, Oct 13 1994 Yamaha Corporation Semiconductor device with antireflection film
5807660, Feb 03 1997 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Avoid photoresist lifting by post-oxide-dep plasma treatment
5817549, Aug 31 1994 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Method for manufacturing a semiconductor device
5831321, Apr 05 1994 Sony Corporation Semiconductor device in which an anti-reflective layer is formed by varying the composition thereof
5838052, Mar 07 1996 Micron Technology, Inc. Reducing reflectivity on a semiconductor wafer by annealing titanium and aluminum
5858880, May 14 1994 Tokyo Electron Limited Method of treating a semi-conductor wafer
5872035, Jun 29 1996 Hyundai Electronics Industries Co., Ltd. Method of forming a floating gate in a flash memory device
5872385, May 02 1994 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Conductive interconnect structure and method of formation
5874367, Jul 04 1992 Tokyo Electron Limited Method of treating a semi-conductor wafer
5883011, Jun 18 1997 VLSI Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
5883014, Jun 03 1997 United Microelectronics Corp. Method for treating via sidewalls with hydrogen plasma
5933721, Apr 21 1997 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
5948482, Sep 19 1995 STC UNM Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
5960289, Jun 22 1998 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region
5962581, Apr 28 1995 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
5968324, Dec 05 1995 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
5968611, Nov 26 1997 GELEST, INC Silicon nitrogen-based films and method of making the same
5981368, Nov 05 1998 Advanced Micro Devices Enhanced shallow junction design by polysilicon line width reduction using oxidation with integrated spacer formation
5994730, Nov 21 1996 Alliance Semiconductor Corporation DRAM cell having storage capacitor contact self-aligned to bit lines and word lines
6001741, Apr 15 1998 Bell Semiconductor, LLC Method for making field effect devices and capacitors with improved thin film dielectrics and resulting devices
6004850, Feb 23 1998 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
6008121, Mar 19 1996 SAMSUNG ELECTRONICS CO , LTD Etching high aspect contact holes in solid state devices
6008124, Feb 22 1996 Matsushita Electric Industrial Co., Ltd. Semiconductor device having improved lamination-structure reliability for buried layers, silicide films and metal films, and a method for forming the same
6017779, Jun 15 1994 Intellectual Keystone Technology LLC Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
6020243, Jul 24 1997 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
6022404, Jan 12 1995 Evonik Degussa GmbH Surface-modified, pyrogenically produced mixed oxides, method of their production and use
6028015, Mar 29 1999 Bell Semiconductor, LLC Process for treating damaged surfaces of low dielectric constant organo silicon oxide insulation material to inhibit moisture absorption
6040619, Jun 07 1995 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
6054379, Feb 11 1998 Applied Materials, Inc.; Applied Materials, Inc Method of depositing a low k dielectric with organo silane
6060765, Jan 05 1998 Acacia Research Group LLC Semiconductor device and a method of manufacturing the same
6060766, Aug 25 1997 Advanced Micro Devices, Inc. Protection of hydrogen sensitive regions in semiconductor devices from the positive charge associated with plasma deposited barriers or layers
6071799, Jun 30 1997 Hyundai Electronics Industries Co., Ltd. Method of forming a contact of a semiconductor device
6072227, Feb 11 1998 Applied Materials, Inc Low power method of depositing a low k dielectric with organo silane
6087267, Mar 04 1986 Apple Inc Process for forming an integrated circuit
6114255, Sep 25 1995 Micron Technology, Inc. Method of using an alloy of germanium and silicon as an antireflective coating
6124641, Jun 26 1997 Mitsubishi Denki Kabushiki Kaisha Semiconductor device organic insulator film
6130168, Jul 08 1999 Taiwan Semiconductor Manufacturing Company Using ONO as hard mask to reduce STI oxide loss on low voltage device in flash or EPROM process
6133096, Dec 10 1998 Taiwan Semiconductor Manufacturing Company Process for simultaneously fabricating a stack gate flash memory cell and salicided periphereral devices
6133613, Feb 03 1998 Vanguard International Semiconductor Corporation Anti-reflection oxynitride film for tungsten-silicide substrates
6136636, Mar 25 1998 ACER SEMICONDUCTOR MANUFACTURING INC ; TSMC-ACER Semiconductor Manufacturing Corporation; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of manufacturing deep sub-micron CMOS transistors
6140151, May 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor wafer processing method
6140677, Jun 26 1998 Advanced Micro Devices, Inc. Semiconductor topography for a high speed MOSFET having an ultra narrow gate
6156674, Nov 25 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods of forming insulative materials
6159804, Sep 02 1998 Advanced Micro Devices, Inc. Disposable sidewall oxidation fabrication method for making a transistor having an ultra short channel length
6159871, May 29 1998 Dow Silicones Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
6184151, Aug 21 1997 International Business Machines Corporation Method for forming cornered images on a substrate and photomask formed thereby
6187657, Mar 24 1999 Advanced Micro Devices, Inc. Dual material gate MOSFET technique
6187694, Nov 10 1997 Intel Corporation Method of fabricating a feature in an integrated circuit using two edge definition layers and a spacer
6198144, Aug 18 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Passivation of sidewalls of a word line stack
6225217, Jun 27 1997 Acacia Research Group LLC Method of manufacturing semiconductor device having multilayer wiring
6235568, Jan 22 1999 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
6235591, Oct 25 1999 Chartered Semiconductor Manufacturing LTD; INSTITUTE OF MICROLECTRONICS Method to form gate oxides of different thicknesses on a silicon substrate
6238976, Jul 08 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method for forming high density flash memory
6268282, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
6281100, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing methods
EP464515,
EP471185,
EP588087,
EP771886,
EP778496,
EP942330,
GB593727,
JP406244172,
JP5263255,
JP6067019,
JP63157443,
JP9050993,
JP955351,
WO9920029,
WO9920030,
////////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Jan 10 2000MOORE, JOHN T Micron Technology, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0105150632 pdf
Jan 10 2000DEBOER, SCOTT JEFFREYMicron Technology, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0105150632 pdf
Jan 18 2000Micron Technology, Inc.(assignment on the face of the patent)
Apr 26 2016Micron Technology, IncU S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0386690001 pdf
Apr 26 2016Micron Technology, IncU S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTCORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001 ASSIGNOR S HEREBY CONFIRMS THE SECURITY INTEREST 0430790001 pdf
Apr 26 2016Micron Technology, IncMORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTPATENT SECURITY AGREEMENT0389540001 pdf
Jun 29 2018U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0472430001 pdf
Jul 03 2018MICRON SEMICONDUCTOR PRODUCTS, INC JPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0475400001 pdf
Jul 03 2018Micron Technology, IncJPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0475400001 pdf
Jul 31 2019JPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0510280001 pdf
Jul 31 2019JPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTMICRON SEMICONDUCTOR PRODUCTS, INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0510280001 pdf
Jul 31 2019MORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0509370001 pdf
Date Maintenance Fee Events
Feb 03 2006M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jan 29 2010M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Jan 29 2014M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Aug 27 20054 years fee payment window open
Feb 27 20066 months grace period start (w surcharge)
Aug 27 2006patent expiry (for year 4)
Aug 27 20082 years to revive unintentionally abandoned end. (for year 4)
Aug 27 20098 years fee payment window open
Feb 27 20106 months grace period start (w surcharge)
Aug 27 2010patent expiry (for year 8)
Aug 27 20122 years to revive unintentionally abandoned end. (for year 8)
Aug 27 201312 years fee payment window open
Feb 27 20146 months grace period start (w surcharge)
Aug 27 2014patent expiry (for year 12)
Aug 27 20162 years to revive unintentionally abandoned end. (for year 12)