Internally generating test vectors on a microchip during a burnin stage allows for better toggle coverage while not requiring external memory. A test access port (TAP) controller which accepts signals from a user and indicates to a linear feedback shift register (lfsr) that the microchip is in the burnin stage. The lfsr then may generate a set of pseudorandom values using a polynomial. The values are then shifted one per clock cycle into the internal scan chain of flips-flops on the chip, which toggles the internal state of the chip. New pseudorandom values are also generated one-by-one during the shift. By using this approach, the internal states of the chip are toggled without the use of an external memory for the burnin system.

Patent
   6675338
Priority
Aug 09 2000
Filed
Aug 09 2000
Issued
Jan 06 2004
Expiry
Dec 27 2021
Extension
505 days
Assg.orig
Entity
Large
7
15
EXPIRED
1. A microchip test design for internally generating test vectors during a burnin test including:
a test access port (TAP) controller having a first input, a second input, and an output;
a linear feedback shift register (lfsr) having an input and an output, said input of said lfsr coupled to said output of said TAP controller, wherein said lfsr further includes an xor gate having five inputs and an output, an output on each of a last, second-to-last, third-to-last, twenty-second-to-last, and first lfsr flip-flops coupled to an input of said xor gate, said output of said xor gate coupled to an input of said first lfsr flip-flop;
one or more flip flops organized in an internal scan chain, a first of said one or more flip-flops having an input coupled to said output of said LPSR, a last of said one or more flip-flops having an output coupled to said second input of said TAP controller; and
said TAP controller further including a test data output (TDO).
2. The microchip test design of claim 1, wherein said LSFR is designed to generate a pseuduorandom test vector based on a polynomial, wherein said polynomial is x32+x22+x2+x+1.
3. The microchip test design of claim 1, wherein said TAP controller receives a message indicating that a microchip containing the microchip test design should enter a burnin stage.
4. The microchip test design of claim 3, wherein said TAP controller sends a message to said lfsr indicating that the lfsr should generate a test pattern to be used to test said microchip.
5. The microchip test design of claim 4, wherein said lfsr generates a set of pseudorandom test vectors in response to said message from said TAP controller.

1. Field of the Invention

The present invention relates to the field of microchip design. More specifically, the present invention relates to the use of internally generated vectors for the burnin system for testing a microchip.

2. The Background

When constructing a microchip, it is typical to run what is called a "burn-in" test on the circuits in the chip. Burn-in is a long, thorough, carefully controlled preliminary test performed in order to stabilize a chip's electrical characteristics after manufacture and to ensure that it will function according to rated specifications. For microchips, a commonly run burn-in test is to run the chip at a high temperature for an extended period of time (such as 48 hours).

Microchips are often designed with test circuitry built-into the chip for use in the test phase of development. The circuitry not be used after shipment of the chip to customers, but the built-in circuitry allows tests to be performed by test facilities at a high rate of speed. A common test design is called a full scan design, which gives observability and controllability over internal states of the microchip to whoever is running the tests. When this capability is used along with the high temperature test, this allows every state of every flip-flop in the circuit to be tested at the high temperature, and oftentimes will reveal a problem in design or manufacture that no other test uncovered.

The goal in designing good test circuitry in this area, therefore, is to be able to toggle as many internal nodes of the microchip as possible during the burn-in stage in order to make the whole chip function during testing, which provides the maximum amount of stress testing of the microchip. This has generally been accomplished by designing the test circuitry to utilize automatic test pattern generation (ATPG) vectors generated by a software tool accompanying the microchip. The ATPG vectors are loaded into a memory, and then sequentially fed to the microchip in order to test all the possible states of the flip-flops in the circuit during burnin.

There is limited memory, however, available in the burnin system. Adding external memory adds additional costs to the burnin process. What is needed is an efficient solution which allows for better toggle coverage while still utilizing the limited memory available.

Internally generating test vectors on a microchip during a burnin stage allows for better toggle coverage while not requiring external memory. A test access port a (TAP) controller which accepts signals from a user and indicates to a linear feedback shift register (LFSR) that the microchip is in the burnin stage. The LFSR then may generate a set of pseudorandom values using a polynomial. The values are then shifted one per clock cycle into the internal scan chain of flips-flops on the chip, which toggles the internal states of the chip. New pseudorandom values are also generated one-by-one during the shift. By using this approach, the internal states of the chip are toggle without the use of an external memory for the burnin system.

FIG. 1 is a block diagram illustrating an internally generated vector design for a microchip in accordance with a specific embodiment of the present invention.

FIG. 2 is a logic diagram illustrating the design of a LFSR according to a specific embodiment of the present invention using the polynomial x32+x22+x2+x+1.

FIG. 3 is a flow diagram illustrating a method for testing an internal scan chain of flip-flops on a microchip in accordance with a specific embodiment of the present invention.

In the following description, a preferred embodiment of the invention is described with regard to preferred process steps and data structures. However, those skilled in the art will recognize, after perusal of this application, that embodiments of the invention may be implemented using at least one general purpose computer operating under program control, and that modification of the general purpose computer to implement the components, process steps, and/or data structures described herein would not require undue invention.

In accordance with a presently preferred embodiment of the present invention, the components, process steps, and/or data structures are implemented on a microchip using logic circuitry. This implementation is not intended to be limiting in any way. Different implementations may be used and may include software, computing platforms, program storage devices and/or computer programs. In addition, those of ordinary skill in the art will readily recognize that devices of a less general purpose nature, such as hardwired devices, devices relying on FPGA (field programmable gate array) or ASIC (application specific integrated circuit) technology, or the like, may also be used without departing from the scope and spirit of the inventive concepts disclosed herewith.

In accordance with a specific embodiment of the present invention, a linear feedback shift register (LFSR) is implemented within the test circuitry on the microchip. The LFSR generates random test vectors and then shifts them into the internal scan chain of the chip. This allows all the possible states of the flip-flops in the internal scan chain to be toggled without utilizing a memory. The design of the LFSR allows the burnin process to be accomplished without the use of an external memory, and also provides for better toggle coverage.

FIG. 1 is a block diagram illustrating an internally generated vector design for a microchip in accordance with a specific embodiment of the present invention. A Test Access Port (TAP) Controller 10 is placed in the circuit. The TAP controller 10 operates to send control signals to an LFSR 12 indicating that the LFSR 12 should generate random test vectors. The TAP controller 10 also may operate to control other test circuits also placed on the microchip. It may take as input a test mode select (TMS) line 14, a test clock (TCK) line 16, a test data input (TDI) line 18 and a test reset (TRST) line 20.

A seed is setup prior to the burnin instruction activation. When control signals are received by the LFSR from the TAP Controller 10 indicating that random test vectors should be generated, the LFSR uses a polynomial to randomly generate the vectors. All random pattern sensitive circuitry may then be protected by enabling the control signals that force them into safe states. In a specific embodiment of the present invention, the polynomial used is x32+x22+x2+x+1. The LFSR 12 sends test values to an internal scan chain of flip-flops 22, which tests the flip-flops, the output of the internal scan chain 22 leading back to the TAP Controller 10. The output will be available on a test data output (TDO) line from the TAP Controller 10.

FIG. 2 is a logic diagram illustrating the design of a LFSR according to a specific embodiment of the present invention using the polynomial x32+x22+x2+x+1. Thirty-two flip-flops 50a-50h are coupled to each other, one for each of the thirty-two bits of the random number vector to be generated. An XOR gate 52 has an output connected to the first flip-flop 50a. One input of the XOR gate comes off the last flip flop 50h. Additionally, lines coming off of the flip flops in positions 22, 2, 1, and 0 are inputs to the XOR gate 52. This represents the polynomial (the output of the XOR gate 52 leads to the 32nd flip flop 50a, representing x32, the outputs of flip flops in positions 22, 2, 1, and 0, 50c, 50f, 50g, 50h respectively, leads to the input of the XOR gate 32, representing x22, x2, x, and 1. Then the LFSR may generate random numbers according to the polynomial in the flip-flops a-50a-50h. After the random numbers are generated, they may be shifted out one at a time (with each clock cycle) to the flip-flops in the internal scan chain. This allows each permutation of states of the flip-flops in the internal scan chain to toggle. During a single clock cycle, a bit is shifted off the LFSR. Additionally, the first flip-flop then gets the output of the XOR gate 52, thus making the value in the first-flip flop a pseudorandom value based on the polynomial.

FIG. 3 is a flow diagram illustrating a method for testing an internal scan chain of flip-flops on a microchip in accordance with a specific embodiment of the present invention. At 100, a message is forwarded to a test access port (TAP) controller indicating that the microchip should enter a burnin stage. This includes using an instruction specifically designed for burnin. At 102, a message from the TAP controller may be relayed to a Linear Feedback Shift Register (LFSR) indicating that the LFSR should test the microchip. These may take the form of control signals. At 104, a set of pseudorandom test values may be generated in the LFSR. This may use the polynomial x32+x22+x2+x+1. At 106, the pseudorandom test values may be shifted one-by-one into the internal scan chain of flip-flops, testing each flip-flop and toggling the nodes. This may occur once every clock cycle.

Thus the present invention allows for the toggling of the logic during a burnin stage by using internally generated vectors, eliminating the need for external. memory and therefore reducing overhead while producing better toggle coverage.

While embodiments and applications of this invention have been shown and described, it would be apparent to those skilled in the art having the benefit of this disclosure that many more modifications than mentioned above are possible without departing from the inventive concepts herein. The invention, therefore, is not to be restricted except in the spirit of the appended claims.

Golshan, Farideh

Patent Priority Assignee Title
10120026, Oct 07 2015 Maxlinear, Inc On-chip test pattern generation
7120842, Sep 22 2003 Texas Instruments Incorporated Mechanism to enhance observability of integrated circuit failures during burn-in tests
7873887, Jan 05 2006 Renesas Electronics Corporation Burn-in test circuit, burn-in test method, burn-in test apparatus, and a burn-in test pattern generation program product
7992059, Sep 11 2007 International Business Machines Corporation System and method for testing a large memory area during processor design verification and validation
8006221, Sep 11 2007 GLOBALFOUNDRIES Inc System and method for testing multiple processor modes for processor design verification and validation
8019566, Sep 11 2007 International Business Machines Corporation System and method for efficiently testing cache congruence classes during processor design verification and validation
8099559, Sep 11 2007 International Business Machines Corporation System and method for generating fast instruction and data interrupts for processor design verification and validation
Patent Priority Assignee Title
4713605, May 17 1984 Advanced Micro Devices, Inc. Linear feedback shift register for circuit design technology validation
5136705, Jun 14 1988 Tektronix, Inc. Method of generating instruction sequences for controlling data flow processes
5367685, Dec 22 1992 Sun Microsystems, Inc Method and apparatus for resolving data references in generated code
5570375, May 10 1995 National Science Council of R.O.C. IEEE Std. 1149.1 boundary scan circuit capable of built-in self-testing
5604756, Apr 15 1993 Advantest Corporation Testing device for concurrently testing a plurality of semiconductor memories
5615137, Jun 01 1994 THE CHASE MANHATTAN BANK, AS COLLATERAL AGENT On-the-fly model checking with partial-order state space reduction
5748964, Dec 20 1994 Sun Microsystems, Inc.; Sun Microsystems, Inc Bytecode program interpreter apparatus and method with pre-verification of data type restrictions
5809036, Nov 29 1993 Xylon LLC Boundary-scan testable system and method
5825785, May 24 1996 Internaitonal Business Machines Corporation; International Business Machines Corporation Serial input shift register built-in self test circuit for embedded circuits
6006345, May 09 1997 International Business Machines Corporation Pattern generator for memory burn-in and test
6367044, Nov 20 1997 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit device
6370665, Jul 28 1999 Renesas Electronics Corporation Semiconductor integrated circuit and recording medium
6415409, Nov 03 1999 DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT System for testing IC chips selectively with stored or internally generated bit streams
EP685792,
WO9819237,
//
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 09 2000Sun Microsystems, Inc.(assignment on the face of the patent)
Oct 12 2000GOLSHAN, FARIDEHSun Microsystems, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0112650518 pdf
Date Maintenance Fee Events
Jul 16 2007REM: Maintenance Fee Reminder Mailed.
Jan 06 2008EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Jan 06 20074 years fee payment window open
Jul 06 20076 months grace period start (w surcharge)
Jan 06 2008patent expiry (for year 4)
Jan 06 20102 years to revive unintentionally abandoned end. (for year 4)
Jan 06 20118 years fee payment window open
Jul 06 20116 months grace period start (w surcharge)
Jan 06 2012patent expiry (for year 8)
Jan 06 20142 years to revive unintentionally abandoned end. (for year 8)
Jan 06 201512 years fee payment window open
Jul 06 20156 months grace period start (w surcharge)
Jan 06 2016patent expiry (for year 12)
Jan 06 20182 years to revive unintentionally abandoned end. (for year 12)