A method for fabricating gate electrodes and gate interconnects with a protective silicon oxide or silicon nitride cap and spacer formed by high density plasma chemical vapor deposition (HDPCVD). silicon oxide or silicon nitride is deposited in a reaction zone of a HDPCVD reactor while providing two or more selected substrate bias powers, source powers and/or selected gas mixtures to tailor the shape and thickness of the film for desired applications. In one embodiment, a low bias power of below 500 Watts is provided in a first stage HDPCVD and the bias power is then increased to between 500 and 3000 Watts for a second stage to produce a protective film having thin sidewall spacers for enhanced semiconductor device density and a relatively thick cap.

Patent
   6756293
Priority
Jul 16 1999
Filed
Dec 16 2002
Issued
Jun 29 2004
Expiry
Jul 16 2019
Assg.orig
Entity
Large
374
23
EXPIRED
1. A process for forming a silicon nitride or silicon oxide film on a semiconductor substrate, comprising:
forming vertical surfaces and horizontal surfaces on said substrate, said horizontal surfaces including raised horizontal surfaces above a base plane of said substrate;
disposing said substrate within a reaction zone of a high density plasma reactor;
during a first stage of said process, performing the acts of:
applying a source power to a plasma source in said reactor to generate a high density plasma in said reaction zone,
introducing a gas mixture containing a silicon compound and at least one other reactant gas capable of reacting with said silicon compound to form either silicon nitride or silicon oxide into said reaction zone, and passing said gas mixture into contact with said substrate while exciting said gas mixture with a high density plasma, and
biasing said substrate with a bias power to form a layer of silicon dioxide or silicon nitride on said vertical and horizontal surfaces of said substrate; and
during a second stage of said process, continuing to form said layer of silicon dioxide or silicon nitride on said vertical and horizontal surfaces of said substrate, while selectively controlling the thicknesses of said layer of silicon dioxide or silicon nitride film formed on said vertical surfaces and said horizontal surfaces, independently, by changing at least one of said bias power, said source power, and a flow rate of said gas mixture.
2. The process according to claim 1, wherein in the second stage of said process, the thicknesses of said layer of silicon dioxide or silicon nitride formed on said vertical surfaces and said horizontal surfaces are independently controlled by changing said bias power.
3. The process according to claim 1, wherein in the second stage of said process, the thicknesses of said layer of silicon dioxide or silicon nitride formed on said vertical surfaces and said horizontal surfaces are independently controlled by changing said source power.
4. The process according to claim 1, wherein in the second stage of said process, the thicknesses of said layer of silicon dioxide or silicon nitride formed on said vertical surfaces and said horizontal surfaces are independently controlled by changing a flow rate of said gas mixture.
5. The process according to claim 1, wherein said bias power applied in said second stage is increased relative to said bias power applied in said first stage to thereby selectively increase the thickness of said layer of silicon dioxide or silicon nitride formed on said raised horizontal surfaces of said substrate relative to the thickness of said layer formed on said vertical surfaces.
6. The process according to claim 1, wherein said bias power applied in said first stage is within the range of from about 10 W to about 500 W and said bias power applied in said second stage is within the range of from about 500 W to about 3000 W to thereby selectively increase the thickness of said layer of silicon dioxide or silicon nitride formed on said raised horizontal surfaces of said substrate relative to the thickness of the layer formed on said vertical surfaces.
7. The process according to claim 1, wherein a flow rate of said silicon compound containing gas mixture is decreased in said second stage relative to a flow rate of said silicon compound containing gas mixture in said first stage to thereby selectively increase the thickness of said layer of silicon dioxide or silicon nitride formed on said raised horizontal surfaces of said substrate relative to the thickness of the layer formed on said vertical surfaces.
8. The process according to claim 1, wherein said bias power is applied at about 1000 W in both said first and second stages, and said source power is applied at about 3000 W in said first stage and at about 2000 W in said second stage to thereby selectively increase the thickness of said layer of silicon dioxide or silicon nitride formed on said raised horizontal surfaces of said substrate relative to the thickness of the layer formed on said vertical surfaces.
9. The process according to claim 1, wherein said forming vertical surfaces and horizontal surfaces on said substrate is performed by patterning said substrate.
10. The process according to claim 1, wherein said forming said vertical surfaces and said horizontal surfaces on said substrate is performed by forming at least one structure on said base plane of said substrate.
11. The process according to claim 1, wherein said forming of said vertical surfaces and said horizontal surfaces on said substrate is performed by isolating an active region on said semiconductor substrate and forming at least one of a gate stack and a digit line on said active region.
12. The process according to claim 11, wherein said at least one of a gate stack and a digit line is formed by blanket deposition and etching, and includes forming sidewall spacers thereon.
13. The process according to claim 1, wherein said source power is applied to about 2000 W to about 3000 W in both said first stage and said second stage of said process.
14. The process according to claim 1, wherein said gas mixture is introduced into said reaction zone with a flow rate in the range of from about 40 sccm to about 400 sccm for said silicon compound.
15. The process according to claim 1, wherein said gas mixture is introduced into said reaction zone with a flow rate in the range of from about 60 sccm to about 500 sccm for said at least one other reactant gas.
16. The process according to claim 1, wherein said gas mixture is introduced into said reaction zone with a flow rate in the range of from about 40 sccm to about 400 sccm for said silicon compound and a flow rate of in the range of from about 60 sccm to about 500 sccm for said at least one other reactant gas.
17. The process according to claim 16, wherein said gas mixture is introduced into said reaction zone with a flow rate of about 150 sccm for said silicon compound and a flow rate of about 250 sccm for said at least one other reactant gas.
18. The process according to claim 1, wherein said silicon compound in said gas mixture comprises a compound selected from the group consisting of silane (SiH4), silicon tetrachloride (SiCl4), silicon tetrabromide (SiBr4), silicon tetrafluoride (SiF4), dichlorosilane (SiH2Cl2), disilane (SiH6) and di-tert-butylsilane (DTBS), tetramethylorthosilicate (TMOS Si(OCH3)4), tetraethylorthosilicate (TEOS, Si(C2H5)4) and tetramethylcyclotetrasioxane (TMCTS, C4Hl6Si4O2).
19. The process according to claim 18, wherein said silicon compound comprises silane (SiH4).
20. The process according to claim 1, wherein said at least one other reactant gas in said gas mixture is capable of reacting with said silicon compound in said gas mixture to form silicon nitride and is selected from the group consisting of ammonia, N2, hydrazine, and mixtures thereof.
21. The process according to claim 1, wherein said at least one other reactant gas in said gas mixture is capable of reacting with said silicon compound in said gas mixture to form silicon dioxide and is selected from the group consisting of oxygen, nitrous oxide (N2O), ozone, nitric oxide (NO), and mixtures thereof.
22. The process according to claim 1, wherein said bias power in both said first stage and said second stage of said process is applied using a 13.56 MHz RF source.
23. The process according to claim 1, further comprising applying a magnetic field to said substrate in at least one of said first stage and said second stage of said process.
24. The process according to claim 1, further comprising maintaining the pressure in said reaction zone to be in a range of from about 0.1 millitorr to about 100 millitorr.
25. The process according to claim 24, wherein the pressure in said reaction zone is maintained to be in a range of from about 5 millitorr to about 15 millitorr.
26. The process according to claim 24, wherein the pressure in said reaction zone is maintained to be in a range of from about 7 millitorr to about 8 millitorr.
27. The process according to claim 1, further comprising maintaining the temperature in said reaction zone to be in a range of from about 350°C C. to about 650°C C.
28. The process according to claim 1, wherein said substrate is disposed within said reaction zone on an electrostatic chuck.
29. The process according to claim 1, wherein said high density plasma is generated by inductive coupling.
30. The process according to claim 1, wherein the layer of silicon oxide or silicon nitride formed on said raised horizontal surfaces of said substrate has a thickness in the range of from about 1000 angstroms to about 3000 angstroms.
31. The process according to claim 1, wherein the layer of silicon oxide or silicon nitride formed on said raised horizontal surfaces of said substrate has a thickness in the range of about 2000 angstroms to about 3000 angstroms.
32. The process according to claim 1, wherein the layer of silicon oxide or silicon nitride formed on said vertical surfaces of said substrate has a thickness in the range of about 100 angstroms to about 900 angstroms.
33. The process according to claim 1, wherein the layer of silicon oxide or silicon nitride formed on said vertical surfaces of said substrate has a thickness in the range of about 300 angstroms to about 800 angstroms.
34. The process according to claim 1, wherein the layer of silicon nitride or silicon dioxide formed during said first stage forms an overhang on each raised horizontal surface of said substrate.
35. The process according to claim 34, wherein said overhang formed on each raised horizontal surface in said first stage is removed during said second stage by said selective controlling.

This application is a continuation of U.S. patent application Ser. No. 09/989,036, filed Nov. 21, 2001, now U.S. Pat. No. 6,524,975, which is a divisional of U.S. patent application Ser. No. 09/354,572, filed Jul. 16, 1999, now U.S. Pat. No. 6,368,988, the contents of both being hereby incorporated by reference.

This invention relates generally to a method for fabricating integrated circuits. More particularly, the invention relates to a high density plasma process for forming insulating caps and sidewall spacers for word line gate electrodes and interconnects.

In the manufacture of integrated circuits, both field-effect transistor gate electrodes and gate electrode interconnects are typically etched from a conductive layer that blankets the in-process circuitry. In semiconductor memory circuits, word lines formed from a uniformly-thick conductive layer which blankets the circuitry form both gate electrodes and gate interconnects. Where a word line passes over a field oxide region, it functions as a gate electrode interconnect; where it passes over a gate dielectric layer that overlies an active area, it functions as a gate electrode. In typical memory circuits, processor circuits and logic circuits, multiple gate electrodes are series coupled by intervening gate interconnects.

FIG. 1 depicts a conventional word line stack 10 of a memory circuit. The word line stack or "gate stack" 10 overlies a silicon substrate 15, which is a small portion of a silicon wafer. Polysilicon layer 11 of word line stack 10 is insulated from the substrate 15 by a gate oxide layer 16. The stack includes a polycrystalline silicon layer 11 and a low resistance metal silicide layer 12. A silicide is a binary compound formed by the reaction of a metal, such as tungston, and silicon at elevated temperature. Also shown in FIG. 1 is a silicon dioxide or silicon nitride capping layer 14.

A typical process for fabricating a word line stack is to grow a gate oxide layer 16 on a lightly-doped silicon substrate 15. Silicon substrate 15 is isolated into active region 17 by field oxide regions 18, as shown in FIG. 1. LOCal Oxidation of Silicon (LOCOS), shallow trench isolation (STI) or other field isolation techniques known in the art are used to provide oxide regions 18 for patterning silicon substrate 15 into active region 17. After isolation of active region 17, gate stack 10, which includes polycrystalline silicon 11 and an overlying silicide layer 12 such as tungsten silicide or other highly conductive materials, and an insulating cap 14 of SiO2 or Si3N4, are formed by blanket deposition and photolithography. Patterned photoresist is used as an etch mask in forming gate stack 10 and insulating cap 14. In FIG. 1, the patterned photoresist has been removed. Chemical vapor deposition (CVD) of silicon oxide or nitride then provides an insulative spacer layer 19, typically having a thickness in the range of about 200 to 2000 angstroms depending upon device geometry. Spacer layer 19 is next etched with an anisotropic etch, to form a set of sidewall spacers 19 for gate stack 10. Remaining spacer layer 19 coats the sides of the gate stack 10, as shown in FIG. 2.

The conventional process flow for both word line stacks and digit lines therefore requires a capping layer deposition and etch, followed by a separate spacer deposition and etch. What is needed is a process flow requiring fewer fabrication steps for formation of cap 14 and spacer 19, and which also provides the ability to independently tailor the thicknesses and shapes of the caps and spacers for particular semiconductor design applications.

The present invention provides a method for fabricating gate electrodes and gate interconnects which include protective silicon oxide or silicon nitride caps and spacers. A SiO2 or Si3N4 film is formed by a high density plasma chemical vapor deposition (HDPCVD) process.

A gate stack or digit line is first blanket deposited and etched, prior to forming a capping layer. Silicon oxide or silicon nitride is then deposited by HDPCVD to simultaneously form a cap and sidewall film of silicon oxide or silicon nitride. The film is deposited in a reaction zone of a high density plasma reactor while applying two or more selected substrate bias powers, source powers and/or gas mixtures, which advantageously permits tailoring the shape and thickness of the film for desired applications. In one preferred embodiment, a low bias power of below 500 Watts is provided in a first stage HDPCVD and the bias power is then increased to between 500 and 3000 Watts for a second stage HDPCVD to fabricate thin sidewall spacers, for enhanced semiconductor device density, and a relatively thick cap, as shown in FIG. 6.

In an alternative embodiment, a gate stack and/or digit line is blanket deposited and etched, and a conventional capping layer and sidewall spacers are then deposited. Subsequently, silicon oxide or nitride is deposited by HDPCVD by the process of the invention including two or more bias and/or source powers and/or gas mixtures to produce a silicon oxide or nitride layer that is relatively thin on vertical surfaces and thicker on horizontal surfaces as shown in FIG. 8. By doing so, the space between the stacks and spacers (x) is increased for the same undoped oxide or barrier thickness (b) at the horizontal surface at the bottom. See FIG. 8. The space between the stacks is then filled with a suitable dielectric such as BPSG.

Preferred embodiments of the invention are described below with reference to the following accompanying drawings.

FIG. 1 is a sectional view of a portion of a semiconductor wafer containing conventional gate stacks and caps.

FIG. 2 is a view of the FIG. 1 wafer taken at a step subsequent to that shown by FIG. 1.

FIG. 3 is a sectional view of a portion of a semiconductor wafer at one processing step in accordance with the invention.

FIG. 4 is a view of the FIG. 3 wafer taken at a step subsequent to that shown by FIG. 3.

FIG. 5 is a view of the FIG. 3 wafer taken at a step subsequent to that shown by FIG. 4.

FIG. 6 is a view of the FIG. 3 wafer taken at a step subsequent to that shown by FIG. 5.

FIG. 7 is a view of an alternative embodiment showing the FIG. 3 wafer taken at a step subsequent to that shown by FIG. 4.

FIG. 8 is a view of an alternative embodiment showing the FIG. 2 wafer taken at a step subsequent to that shown by FIG. 2.

The present invention will now be described in detail as set forth in the preferred embodiments illustrated in FIGS. 3-8. Although these embodiments depict the invention in its preferred application to specific semiconductor materials, it should be readily apparent that the invention has equal application to any type of material that encounters the same or similar problems. The figures are not meant to be actual cross-sectional views of any particular portion of a real semiconductor device, but are merely convenient tools employed to more fully depict the process aspects of the invention at various stages of manufacture.

The term "substrate" herein shall be understood to mean one or more semiconductive layers or structures which may include active or operable portions of semiconductor devices. Substrates may be any material on which a silicon nitride or silicon dioxide film is desired, e.g., Si wafers, glass or metal objects or films; GaAs layers; or any semiconductor layer or device employing Groups III to V elements or compounds, including, but not limited to, DRAMs and MOS system gates in integrated circuit technology.

An exemplary construction of a fabrication process for a protected gate stack or digit line in a semiconductor device according to one embodiment of the present invention is described below. This process is only one example of many possible processes. Referring to FIG. 3, silicon substrate 15 is isolated into active region 17 by oxide regions 18. LOCal oxidation of silicon (LOCOS), shallow trench isolation (STI) or other conventional oxidation techniques known in the art are used to provide oxide region 18 for patterning silicon substrate 15 into active region 17.

As an example of a LOCOS process, a silicon nitride layer (not shown) is deposited or thermally grown on a silicon dioxide layer (not shown) which is previously thermally grown on silicon substrate 15. The silicon nitride layer is patterned such that the silicon nitride layer remains only on active region 17. The exposed portions of silicon substrate 15 are thermally oxidized into oxide region 18, and the patterned silicon nitride layer and silicon dioxide layer are selectively etched off of active region 17 of substrate 15.

As an example of an STI process, a silicon nitride layer (not shown) is deposited or thermally grown on a silicon dioxide layer which is previously thermally grown on silicon substrate 15. The silicon nitride layer is patterned such that the silicon nitride layer remains only on active region 17. A silicon trench is then etched to a depth of typically 2-3 times the junction depth of the devices, i.e., about 0.20 to 0.40 micron. The silicon sidewalls and trench bottom are then oxidized to a thickness of about 100-200 angstroms to serve as a liner. Then a high conformal oxide deposition is performed to fill both the trench and the wide open field area. The oxide is subsequently planarized by chemical mechanical polishing (CMP) to the level of the nitride underneath, to expose the nitride.

As shown in FIG. 4, after isolation of active region 17, insulator 16, lower polysilicon layer 11, and overlying metal or silicide layer 12 such as tungsten silicide, are formed using conventional semiconductor processing techniques known in the art. For instance, a 50 to 400 angstrom layer of oxide 16 is thermally grown on active region 17, and 500 to 5000 angstrom layers of polycrystalline silicon 11 and silicide 12 are then sequentially blanket deposited on oxide 16. Patterned photoresist on silicide 12 is then used as an etch mask in forming structure 10. The gate stacks or digit lines are dry etched by any suitable etching process. In FIG. 4, the patterned photoresist has been removed.

Note that unlike the conventional process depicted in FIG. 1, the stack of FIG. 4 does not include a capping layer and no cap layer etch is performed. Moreover, in conventional process flow, after etching the gate stack or digit line cap, a spacer material is then deposited and etched. As shown in FIG. 2, the spacer material is typically anisotropically etched to form spacer 19 of a similar height as the structure 10 and cap 14. These separate capping and spacering steps are avoided by the process of the invention. The gate stack or digit line structure shown in FIG. 4 is one example of a starting point for various embodiments utilizing the HDPCVD process of the present invention for simultaneously forming a protective cap and spacer of silicon nitride or oxide on a gate stack, digit line, or other vertical structure on a semiconductor substrate.

Silicon nitride (Si3N4) and silicon dioxide (SiO2) are the preferred materials for depositing as cap and sidewall spacers in the method of the present invention. Other silicon nitride-type materials or silicon oxide-type materials, which contain Si3N4 and/or SiO2 together with one or more other materials, may also be deposited by the process described herein. Silicon nitride has a high dielectric strength, excellent barrier properties against impurity diffusion, and good chemical stability. Silicon dioxide has good insulating properties and is also chemically stable for these applications. The HDPCVD process of this invention may be used to produce Si3N4 or Si3N4-type films. The HDPCVD process of this invention may also be used to produce SiO2 or SiO2-type films such as BSG, PSG, BPSG, and etc.

Although there are some differences in the way various HDPCVD systems are designed, from the type of plasma source used to the way the reaction chamber is cleaned, all work in fundamentally the same way. Each functions to provide a simultaneous deposition and etching action. A high-density plasma source--inductively coupled plasma (ICP), electron cyclotron resonance (ECR) or Helicon, for example--excites a gas mixture that includes, for example, oxygen and argon. In the method of the invention, an inductively coupled plasma source is preferred. Source power is typically in the range of about 2000 to about 3000 W, but any suitable power may be used provided the gas mixture is sufficiently excited to conduct HDPCVD. A magnetic field may be applied to the substrate, but it is not necessary. In the method of the invention, preferably two or more different bias powers are applied to the substrate to pull the energetic ions out of the plasma and direct them at the substrate surface: the oxygen, for example, reacts with silane (SiH4) to form SiO2, while the argon acts to sputter deposited material away. Typically, a 13.56 MHz RF source is used to apply a bias voltage between the substrate and the plasma, but the process of the invention is not limited to use of any particular RF frequency. Furthermore, the process may also be carried out using two or more different source powers and constant bias power or in conjunction with two or more different bias powers.

The ICP or other high-density plasma source maintains a high ion density even at the relatively low pressures (a few mTorr) needed to obtain the directionality required for tailoring the cap and spacer for desired applications (at higher pressures, there are too many collisions between radicals to maintain good directionality). The etch/deposition (E:D) ratio--typically kept in the range of between 0.14-0.33--is controlled by the ratio of the gases, the chamber pressure, and the RF bias on the substrate. The reaction chamber pressures are controlled in the range of from about 0.1 millitorr (mTorr) to about 100 millitorr. The preferred range is from about 5 to about 15 millitorr for both dioxide and nitride formation, more preferably about 7-8 millitorr.

The substrate with, for example, stack 10 as shown in FIG. 4, is placed in a HDP reaction chamber, typically on an electrostatic chuck (ESC). The low pressures used and the need for effective wafer cooling to maintain the temperature in the range of about 350°C C. to 650°C C. make electrostatic chucks (ESCs) preferable. Helium backside cooling may be used to remove excess thermal energy from the simultaneous argon or other noble element sputtering. The reaction gases may be introduced into the reaction chamber by separate inlet lines, or they may be premixed in a mixing manifold. The reaction gases are introduced in a substantially laminar flow over the substrate surface. The residence time over the substrate is preferably kept short to eliminate substantial concentration variations over the substrate.

For deposition of silicon nitride (Si3N4) or silicon dioxide (SiO2) films, any gaseous silicon compound or complex may be used. Silane (SiH4) is generally preferred as the gaseous silicon source. Other silicon containing chemicals that may be used as silicon sources include, for example, silicon tetrachloride (SiCl4), silicon tetrabromide (SiBr4), silicon tetrafluoride (SiF4), dichlorosilane (SiH2Cl2), disilane (Si2H6) and di-tert-butylsilane (DTBS). Other chemicals, such as tetramethylorthosilicate [TMOS Si(OCH3)4], tetraethylorthosilicate [TEOS, Si(OC2H5)4] and tetramethylcyclotetrasiloxane (TMCTS, C4H16Si4O2), may also be used for oxide deposition.

Useful gas flow rates are on the order of from about 40 to about 400 standard cc/min. (sccm) for the silane or other silicon compound (preferably about 150 sccm), and from about 60 sccm to 500 sccm for the other reactant gas or gases capable of reacting with the silicon compound to form silicon nitride (e.g., anhydrous ammonia, N2, hydrazine, or mixtures thereof) or to form silicon dioxide (e.g., oxygen, ozone, nitrous oxide, nitric oxide or mixtures thereof). The preferred gas flow rate is about 250 sccm for these other, non-silicon, reactant gases.

The flow rate of the noble gas, preferably argon or helium, is in the range of from about 60 to about 500 sccm. The preferred noble gas flow rate is about 200 sccm.

The present invention provides a more efficient fabrication process for forming word line or buried digit line caps and spacers, but also provides the unique ability to control how the thickness of the deposited layer varies along bottom, sidewall, and top surfaces of high aspect ratio features patterned on an integrated circuit. The HDPCVD process of the invention is carried out under two or more separate sets of process conditions (source power, bias power, and/or gas flow rate) for each gate stack or digit line. The preferred difference between the two separate sets of conditions is the amount of bias applied to the substrate. Thus, each substrate is subject to a relatively low or zero bias HDPCVD process and also to a relatively high bias HPCVD process. The substrate need not be removed from the reaction zone and the gas flow rates need not be changed for the second stage of the HDPCVD process. The bias is either increased or decreased, resulting in the deposition of a second layer of silicon oxide or nitride film and a change in the morphology or shape of the resulting overall film. Alternatively, each substrate is subject to a relatively low source power and also to a relatively high source power, which also results in deposition of a second layer and a change in film morphology. When the source power is varied between first and second stage processes, the bias power and/or gas flow rate need not be changed.

A process flow will now be described in which the SiO2 or Si3N4 cap and spacer will be simultaneously deposited by HDPCVD and wherein the cap will be relatively thick as compared to the sidewall spacers. Thinner sidewall spacers are preferred for many applications, particularly high density DRAM and other memory cell arrays. Preferably, the resulting cap and bottom layer of film have thicknesses in the range of from about 1000 to about 3000 angstroms, while the spacer film on the gate stack or digit line sidewalls has a thickness in the range of from about 100 to about 900 angstroms. More preferably, the cap has a thickness of about 2000 to about 3000 angstroms and the sidewall spacers have a thickness of about 300-800 angstroms.

To begin deposition, silane or another silicon compound, together with ammonia, N2 or hydrazine where silicon nitride-type films are desired, or together with oxygen, nitrous oxide (N2O), ozone, or nitric oxide (NO) in the case of where a silicon dioxide-type film is desired, are introduced through an inlet in the HDPCVD reaction zone and passed through the plasma and over the substrate. The substrate is biased with a relatively low bias power in the range of about 10 to 500 Watts. The plasma quickly forms either a silicon nitride film or silicon dioxide film 20 on the substrate. The morphology of the film formed from this first set of HDPCVD conditions is generally as shown in FIG. 5, and may include the characteristic relatively pointed or "overhang" feature on the cap, which overhang configuration on raised (elevated) horizontal surfaces of the substrate is a result of the simultaneous deposition and sputtering, but more preferably has a flatter configuration 21 on the cap as shown in FIG. 5. As shown in FIG. 5, the film thickness (t1) on the raised horizontal surface of gate stack or digit line 10 is greater than the film thickness (t2) on the verticle surfaces and on the bottom horizontal surfaces (t3) of the opening. In addition, thickness (t3) is also greater than (t2), and (t1.) is greater than (t2).

The bias power is then increased to a power within the range of about 500 to 3000 Watts. The substrate need not be removed from the reaction zone between the two HDPCVD process stages, and the gases and flow rates need not be changed from the rates specified above. The resulting morphology of the film 23 is then as shown in FIG. 6, which shows a buildup of the cap 22 thickness. In addition to increasing the bias, the flow rate of silane or other silicon compound gas may also be decreased or shut off for this second stage of the HDPCVD process, thus further enhancing the resulting morphology of thick flat cap 22 and thin sidewall spacers. Similarly, the source power may also be varied between, e.g., about 2000 and 3000 W to further enhance the resulting morphology. In an alternative embodiment, the bias power is maintained at about 1000 Watts but the source power is varied from a high power first stage of about 3000 W to a low power second stage of about 2000 Watts.

Various other cap and spacer configurations can be formed by controlling the bias power, source power, and/or silicon gas flow rate. By increasing the bias power, the thickness of the cap increases relative to the thickness of the sidewall spacers. By increasing the silicon compound gas flow rate, the thickness of the sidewall spacers increases relative to the cap. The process variables, bias and source power and silicon flow rate, can be independently varied to control the film shape and thickness of the cap and spacer, i.e., the horizontal and vertical features. It is also readily apparent that the process of the invention may be used to provide a cap of relatively lesser thickness and spacers of relatively greater thickness as shown in FIG. 7, or a cap and spacers of the same thicknesses, depending on the particular design criteria of a given semiconductor application.

The film formation rate is typically in the range of from about 10 to about 500 angstroms per minute with typical operating rates being on the order of from about 30-300 angstroms per minute, with the optimum being about 100-200 angstroms per minute at a pressure of about 7-8 mTorr at about 600°C C. This provides a growth rate sufficiently moderate to be controllable yet sufficiently high to be suitable for commercial production.

The high-density plasma chemical vapor deposition (HDPCVD) process of the invention has several advantages compared to competing technologies. It deposits a hard, high-quality material that has good thermal conductivity and etch characteristics similar to those of thermal oxides or nitrides. Moreover, the caps and spacers may be formed simultaneously without any need to alter deposition or etch chemistries. In addition, the shape of the protective film may be controlled and modified by merely adjusting two knobs on a typical HDPCVD reactor, e.g., bias power and silane flow rate.

In an alternative embodiment, a gate stack and/or digit line is blanket deposited and etched, and a conventional capping layer and sidewall spacers are then deposited in the conventional multiple step manner. Subsequently, silicon oxide or nitride is deposited by HDPCVD by the above process using two or more bias and/or source powers and/or gas mixtures to produce a silicon oxide or nitride layer having the configuration as shown in FIG. 8. By doing so, the space between the stacks and spacers (x) may be increased for the same undoped oxide or barrier thickness (b) at the horizontal surface at the bottom. As can be seen in FIG. 8, a relatively thin silicon oxide or nitride layer is thus deposited on the vertical surfaces while a relatively thicker layer is deposited on the horizontal surface between the spacers. The space between the stacks is then filled with a dielectric such as BPSG.

Although several embodiments of the improved processes have been described herein, it will be apparent to one skilled in the art that changes and modifications may be made thereto without departing from the spirit and the scope of the invention as claimed. Accordingly, it is to be understood that although the present invention has been described with reference to preferred embodiments, various modifications, known to those skilled in the art, may be made to the structures and process steps presented herein without departing from the invention which is defined in the claims appended hereto.

Sandhu, Gurtej, Sharan, Sujit, Li, Weimin

Patent Priority Assignee Title
10023960, Sep 12 2012 ASM IP Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
10043661, Jul 13 2015 ASM IP Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
10083836, Jul 24 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Formation of boron-doped titanium metal films with high work function
10087522, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides
10090316, Sep 01 2016 ASM IP Holding B.V.; ASM IP HOLDING B V 3D stacked multilayer semiconductor memory using doped select transistor channel
10103040, Mar 31 2017 ASM IP HOLDING B V Apparatus and method for manufacturing a semiconductor device
10134757, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10177025, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10229833, Nov 01 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10236177, Aug 22 2017 ASM IP HOLDING B V Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
10249524, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10249577, May 17 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
10262859, Mar 24 2016 ASM IP Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
10269558, Dec 22 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10276355, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
10283353, Mar 29 2017 ASM IP HOLDING B V Method of reforming insulating film deposited on substrate with recess pattern
10290508, Dec 05 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming vertical spacers for spacer-defined patterning
10312055, Jul 26 2017 ASM IP Holding B.V. Method of depositing film by PEALD using negative bias
10312129, Sep 29 2015 ASM IP Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
10319588, Oct 10 2017 ASM IP HOLDING B V Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10322384, Nov 09 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Counter flow mixer for process chamber
10340125, Mar 08 2013 ASM IP Holding B.V. Pulsed remote plasma method and system
10340135, Nov 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
10343920, Mar 18 2016 ASM IP HOLDING B V Aligned carbon nanotubes
10361201, Sep 27 2013 ASM IP Holding B.V. Semiconductor structure and device formed using selective epitaxial process
10364496, Jun 27 2011 ASM IP Holding B.V. Dual section module having shared and unshared mass flow controllers
10366864, Mar 18 2013 ASM IP Holding B.V. Method and system for in-situ formation of intermediate reactive species
10367080, May 02 2016 ASM IP HOLDING B V Method of forming a germanium oxynitride film
10378106, Nov 14 2008 ASM IP Holding B.V. Method of forming insulation film by modified PEALD
10381219, Oct 25 2018 ASM IP Holding B.V. Methods for forming a silicon nitride film
10381226, Jul 27 2016 ASM IP Holding B.V. Method of processing substrate
10388509, Jun 28 2016 ASM IP Holding B.V. Formation of epitaxial layers via dislocation filtering
10388513, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10395919, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10403504, Oct 05 2017 ASM IP HOLDING B V Method for selectively depositing a metallic film on a substrate
10410943, Oct 13 2016 ASM IP Holding B.V. Method for passivating a surface of a semiconductor and related systems
10435790, Nov 01 2016 ASM IP Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
10438965, Dec 22 2014 ASM IP Holding B.V. Semiconductor device and manufacturing method thereof
10446393, May 08 2017 ASM IP Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
10458018, Jun 26 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Structures including metal carbide material, devices including the structures, and methods of forming same
10468251, Feb 19 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
10468261, Feb 15 2017 ASM IP HOLDING B V Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
10468262, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
10480072, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10483099, Jul 26 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming thermally stable organosilicon polymer film
10501866, Mar 09 2016 ASM IP Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
10504742, May 31 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of atomic layer etching using hydrogen plasma
10510536, Mar 29 2018 ASM IP Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
10529542, Mar 11 2015 ASM IP Holdings B.V. Cross-flow reactor and method
10529554, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
10529563, Mar 29 2017 ASM IP Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
10535516, Feb 01 2018 ASM IP Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
10541173, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
10541333, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
10559458, Nov 26 2018 ASM IP Holding B.V. Method of forming oxynitride film
10561975, Oct 07 2014 ASM IP Holdings B.V. Variable conductance gas distribution apparatus and method
10566223, Aug 28 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Systems and methods for dynamic semiconductor process scheduling
10590535, Jul 26 2017 ASM IP HOLDING B V Chemical treatment, deposition and/or infiltration apparatus and method for using the same
10600673, Jul 07 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Magnetic susceptor to baseplate seal
10604847, Mar 18 2014 ASM IP Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
10605530, Jul 26 2017 ASM IP Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
10607895, Sep 18 2017 ASM IP HOLDING B V Method for forming a semiconductor device structure comprising a gate fill metal
10612136, Jun 29 2018 ASM IP HOLDING B V ; ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
10612137, Jul 08 2016 ASM IP HOLDING B V Organic reactants for atomic layer deposition
10622375, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10643826, Oct 26 2016 ASM IP HOLDING B V Methods for thermally calibrating reaction chambers
10643904, Nov 01 2016 ASM IP HOLDING B V Methods for forming a semiconductor device and related semiconductor device structures
10644025, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10655221, Feb 09 2017 ASM IP Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
10658181, Feb 20 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of spacer-defined direct patterning in semiconductor fabrication
10658205, Sep 28 2017 ASM IP HOLDING B V Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
10665452, May 02 2016 ASM IP Holdings B.V. Source/drain performance through conformal solid state doping
10672636, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10683571, Feb 25 2014 ASM IP Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
10685834, Jul 05 2017 ASM IP Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
10692741, Aug 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Radiation shield
10707106, Jun 06 2011 ASM IP Holding B.V.; ASM IP HOLDING B V High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
10714315, Oct 12 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Semiconductor reaction chamber showerhead
10714335, Apr 25 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of depositing thin film and method of manufacturing semiconductor device
10714350, Nov 01 2016 ASM IP Holdings, B.V.; ASM IP HOLDING B V Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10714385, Jul 19 2016 ASM IP Holding B.V. Selective deposition of tungsten
10720322, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top surface
10720331, Nov 01 2016 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10731249, Feb 15 2018 ASM IP HOLDING B V Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
10734223, Oct 10 2017 ASM IP Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10734244, Nov 16 2017 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by the same
10734497, Jul 18 2017 ASM IP HOLDING B V Methods for forming a semiconductor device structure and related semiconductor device structures
10741385, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10755922, Jul 03 2018 ASM IP HOLDING B V Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10755923, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10767789, Jul 16 2018 ASM IP Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
10770286, May 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
10770336, Aug 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate lift mechanism and reactor including same
10784102, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
10787741, Aug 21 2014 ASM IP Holding B.V. Method and system for in situ formation of gas-phase compounds
10797133, Jun 21 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
10804098, Aug 14 2009 ASM IP HOLDING B V Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
10811256, Oct 16 2018 ASM IP Holding B.V. Method for etching a carbon-containing feature
10818758, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
10829852, Aug 16 2018 ASM IP Holding B.V. Gas distribution device for a wafer processing apparatus
10832903, Oct 28 2011 ASM IP Holding B.V. Process feed management for semiconductor substrate processing
10844484, Sep 22 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
10844486, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10847365, Oct 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming conformal silicon carbide film by cyclic CVD
10847366, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
10847371, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
10851456, Apr 21 2016 ASM IP Holding B.V. Deposition of metal borides
10854498, Jul 15 2011 ASM IP Holding B.V.; ASM JAPAN K K Wafer-supporting device and method for producing same
10858737, Jul 28 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Showerhead assembly and components thereof
10865475, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides and silicides
10867786, Mar 30 2018 ASM IP Holding B.V. Substrate processing method
10867788, Dec 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10872771, Jan 16 2018 ASM IP Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
10883175, Aug 09 2018 ASM IP HOLDING B V Vertical furnace for processing substrates and a liner for use therein
10886123, Jun 02 2017 ASM IP Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
10892156, May 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
10896820, Feb 14 2018 ASM IP HOLDING B V Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
10910262, Nov 16 2017 ASM IP HOLDING B V Method of selectively depositing a capping layer structure on a semiconductor device structure
10914004, Jun 29 2018 ASM IP Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
10923344, Oct 30 2017 ASM IP HOLDING B V Methods for forming a semiconductor structure and related semiconductor structures
10928731, Sep 21 2017 ASM IP Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
10934619, Nov 15 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Gas supply unit and substrate processing apparatus including the gas supply unit
10941490, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
10943771, Oct 26 2016 ASM IP Holding B.V. Methods for thermally calibrating reaction chambers
10950432, Apr 25 2017 ASM IP Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
10975470, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11018047, Jan 25 2018 ASM IP Holding B.V. Hybrid lift pin
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11024523, Sep 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11056567, May 11 2018 ASM IP Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
7179760, May 27 2005 INTERNATIONAL BUISNESS MACHINES CORPORATION Bilayer cap structure including HDP/bHDP films for conductive metallization and method of making same
7919800, Feb 26 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor-less memory cells and cell arrays
8203866, Feb 26 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor-less memory cell, device, system and method of making same
8334204, Jul 24 2008 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
8451650, Feb 26 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor-less memory cell, device, system and method of making same
8582350, Feb 26 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor-less memory cell, device, system and method of making same
8724372, Feb 26 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor-less memory cell, device, system and method of making same
8809194, Mar 07 2012 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
9293185, Feb 26 2007 Micron Technology, Inc. Apparatus including a capacitor-less memory cell and related methods
9793135, Jul 14 2016 ASM IP HOLDING B V Method of cyclic dry etching using etchant film
9812320, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
9859151, Jul 08 2016 ASM IP HOLDING B V Selective film deposition method to form air gaps
9887082, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
9891521, Nov 19 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing thin film
9899405, Dec 22 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor device and manufacturing method thereof
9916980, Dec 15 2016 ASM IP HOLDING B V Method of forming a structure on a substrate
D830981, Apr 07 2017 ASM IP HOLDING B V ; ASM IP Holding B.V. Susceptor for semiconductor substrate processing apparatus
D880437, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D900036, Aug 24 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Heater electrical connector and adapter
D903477, Jan 24 2018 ASM IP HOLDING B V Metal clamp
D913980, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
ER3967,
ER4489,
ER6015,
ER6328,
ER8750,
Patent Priority Assignee Title
4776918, Oct 20 1986 Renesas Technology Corp Plasma processing apparatus
4877641, May 31 1988 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
4882028, Jan 22 1988 Micron Technology, Inc. R-F electrodes for removably providing electrical energy to an apparatus during R-F energy reactive treatment processes
4892751, Jan 19 1987 Hitachi, Ltd. Method of and apparatus for forming a thin film
5091049, Jun 13 1989 SPTS TECHNOLOGIES LIMITED High density plasma deposition and etching apparatus
5124014, Feb 27 1990 AT&T Bell Laboratories Method of forming oxide layers by bias ECR plasma deposition
5286344, Jun 15 1992 Round Rock Research, LLC Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
5290358, Sep 30 1992 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
5344792, Mar 04 1993 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
5451543, Apr 25 1994 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Straight sidewall profile contact opening to underlying interconnect and method for making the same
5532175, Apr 17 1995 Apple Inc Method of adjusting a threshold voltage for a semiconductor device fabricated on a semiconductor on insulator substrate
5629246, Sep 27 1995 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine
5641545, Jun 07 1995 Micron Technology, Inc. Method to deposit highly conformal CVD films
5662770, Apr 16 1993 Micron Technology, Inc Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
5683548, Feb 22 1996 SPTS TECHNOLOGIES LIMITED Inductively coupled plasma reactor and process
5736455, Dec 22 1995 Micron Technology, Inc. Method for passivating the sidewalls of a tungsten word line
5747116, Nov 08 1994 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of forming an electrical contact to a silicon substrate
5759922, Aug 25 1993 Micron Technology, Inc. Control of etch profiles during extended overetch
5762814, Sep 28 1990 Hitachi, Ltd. Plasma processing method and apparatus using plasma produced by microwaves
5776834, Jun 07 1995 Advanced Micro Devices, INC Bias plasma deposition for selective low dielectric insulation
5872058, Jun 17 1997 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
5913140, Dec 23 1996 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
6184185, May 08 1998 ExxonMobil Research & Engineering Company Lubricant oil composition comprising borated cyclic carboxylic acid imide
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Dec 16 2002Micron Technology, Inc.(assignment on the face of the patent)
Date Maintenance Fee Events
Sep 24 2007M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Feb 04 2008ASPN: Payor Number Assigned.
Sep 19 2011M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Feb 05 2016REM: Maintenance Fee Reminder Mailed.
Jun 29 2016EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Jun 29 20074 years fee payment window open
Dec 29 20076 months grace period start (w surcharge)
Jun 29 2008patent expiry (for year 4)
Jun 29 20102 years to revive unintentionally abandoned end. (for year 4)
Jun 29 20118 years fee payment window open
Dec 29 20116 months grace period start (w surcharge)
Jun 29 2012patent expiry (for year 8)
Jun 29 20142 years to revive unintentionally abandoned end. (for year 8)
Jun 29 201512 years fee payment window open
Dec 29 20156 months grace period start (w surcharge)
Jun 29 2016patent expiry (for year 12)
Jun 29 20182 years to revive unintentionally abandoned end. (for year 12)