A material processing apparatus having an integrated toroidal plasma source is described. The material processing apparatus includes a plasma chamber that comprises a portion of an outer surface of a process chamber. A transformer having a magnetic core surrounds a portion of the plasma chamber. The transformer has a primary winding. A solid state ac switching power supply comprising one or more switching semiconductor devices is coupled to a voltage supply and has an output that is coupled to the primary winding. The solid state ac switching power supply drives an ac current in the primary winding that induces an ac potential inside the chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas.

Patent
   6924455
Priority
Jun 26 1997
Filed
Jan 26 2001
Issued
Aug 02 2005
Expiry
Feb 28 2019
Extension
612 days
Assg.orig
Entity
Large
52
108
all paid
30. A method for delivering reactive species to a process chamber, the method comprising:
a. confining a gas in a plasma chamber comprising a portion of the outer surface of the process chamber;
b. generating a current with a solid state ac switching power supply;
c. inducing an ac potential inside the plasma chamber by passing the current though a primary winding of a transformer having a magnetic core surrounding a portion of the chamber, the induced ac potential directly forming a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas; and
d. directing the dissociated gas into the process chamber.
42. A method for cleaning a process chamber, the method comprising:
a. confining a gas in a plasma chamber comprising a portion of the outer surface of the process chamber;
b. generating a current with a solid state ac switching power supply;
c. inducing an ac potential inside the plasma chamber by passing the current though a primary winding of a transformer having a magnetic core surrounding a portion of the chamber, the induced ac potential directly forming a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas; and
d. directing the dissociated gas into the process chamber, thereby cleaning the process chamber.
48. A method for delivering reactive species to a process chamber, the method comprising:
a. forming a plasma chamber comprising a portion of an outer surface of a process chamber;
b. confining a gas in the plasma chamber;
c. generating a current with a solid state ac switching power supply;
d. inducing an ac potential inside the plasma chamber by passing the current though a primary winding of a transformer having a magnetic core surrounding a portion of the chamber, the induced ac potential directly forming a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas; and
e. directing the dissociated gas into the process chamber.
49. A method for processing substrates, the method comprising:
a. forming a plasma chamber comprising a portion of an outer surface of a process chamber;
b. confining a gas in the plasma chamber;
c. generating a current with a solid state ac switching power supply;
d. inducing an ac potential inside the plasma chamber by passing the current though a primary winding of a transformer having a magnetic core surrounding a portion of the chamber, the induced ac potential directly forming a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas; and
e. directing the dissociated gas onto substrates positioned in the process chamber, thereby processing the substrates.
21. A material processing apparatus comprising:
a. a process chamber;
b. a plasma chamber comprising a portion of an outer surface of the process chamber, the plasma chamber containing a gas;
c. a transformer having a magnetic core surrounding a portion of the plasma chamber and a primary winding; and
d. a solid state ac switching power supply comprising one or more switching semiconductor devices coupled to a voltage supply and having an output coupled to the primary winding,
the solid state ac switching power supply driving an ac current in the primary winding, the current inducing an ac potential inside the plasma chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas, the dissociated gas flowing into the process chamber, thereby cleaning the process chamber.
1. A material processing apparatus comprising:
a. a process chamber having a sample holder positioned inside the process chamber that supports material to be processed;
b. a plasma chamber comprising a portion of an outer surface of the process chamber, the plasma chamber containing a gas;
c. a transformer having a magnetic core surrounding a portion of the plasma chamber and a primary winding; and
d. a solid state ac switching power supply comprising one or more switching semiconductor devices coupled to a voltage supply and having an output coupled to the primary winding,
the solid state ac switching power supply driving an ac current in the primary winding, the current inducing an ac potential inside the plasma chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas, the dissociated gas flowing into the process chamber.
44. An apparatus for dissociating gases, the apparatus comprising:
a. a process chamber;
b. a plasma chamber comprising a portion of an outer surface of the process chamber, the plasma chamber comprising an electrically conductive material and at least one dielectric region that forms an electrical discontinuity in the plasma chamber; the plasma chamber containing a gas;
c. a transformer having a magnetic core surrounding a portion of the plasma chamber and a primary winding; and
d. a solid state ac switching power supply comprising one or more switching semiconductor devices coupled to a voltage supply and having an output coupled to the primary winding,
the solid state ac switching power supply driving an ac current in the primary winding, the current inducing an ac potential inside the chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas, the dissociated gas flowing into the process chamber.
2. The apparatus of claim 1 wherein the plasma extends into the process chamber.
3. The apparatus of claim 1 wherein the plasma extends to the sample holder.
4. The apparatus of claim 1 wherein a portion of the magnetic core is positioned within the process chamber.
5. The apparatus of claim 1 wherein the plasma chamber comprises a portion of a top surface of the process chamber.
6. The apparatus of claim 1 wherein the plasma chamber comprises a removable lid that is a portion of the process chamber.
7. The apparatus of claim 1 wherein the one or more switching semiconductor devices comprises one or more switching transistors.
8. The apparatus of claim 1 wherein the output of the one or more switching semiconductor devices is directly coupled to the primary winding.
9. The apparatus of claim 1 wherein the plasma chamber comprises an electrically conductive material and at least one dielectric region that forms an electrical discontinuity in the plasma chamber.
10. The apparatus of claim 9 wherein the electrically conductive material comprises aluminum.
11. The apparatus of claim 10 wherein the aluminum is anodized.
12. The apparatus of claim 1 wherein the plasma chamber comprises a dielectric material.
13. The apparatus of claim 1 further comprising an electrode positioned in the plasma chamber that generates free charges that assist the ignition of the plasma in the plasma chamber.
14. The apparatus of claim 1 further comprising an electrode capacitively coupled to the plasma chamber that generates free charges that assist the ignition of the plasma in the plasma chamber.
15. The apparatus of claim 1 further comprising an ultraviolet light source optically coupled to the plasma chamber that generates free charges that assist the ignition of the plasma in the plasma chamber.
16. The apparatus of claim 1 further comprising a circuit for measuring electrical parameters associated with the primary winding and the plasma, the electrical parameters including one or more of a current driving the primary winding, a voltage across the primary winding, an average power in the primary winding, and a peak power in the primary winding.
17. The apparatus of claim 16 further comprising a power control circuit having an input coupled to an output of the circuit for measuring electrical parameters associated with the primary winding and the plasma and an output coupled to the solid state ac switching power supply, the power control circuit controlling voltage and current supplied to the primary winding.
18. The apparatus of claim 1 further comprising a power supply that is electrically coupled to the sample holder, the power supply biasing the material to be processed relative to a potential of the plasma.
19. The apparatus of claim 1 wherein the material to be processed comprises at least one of a solid, powder, and a gas.
20. The apparatus of claim 1 wherein the material to be processed comprises a semiconductor material.
22. The apparatus of claim 21 wherein a portion of the magnetic core is positioned within the process chamber.
23. The apparatus of claim 21 wherein the plasma extends into the process chamber.
24. The apparatus of claim 21 wherein the plasma chamber comprises an electrically conductive material and at least one dielectric region that forms an electrical discontinuity in the chamber.
25. The apparatus of claim 21 further comprising an electrode positioned in the chamber that generates free charges that assist the ignition of the plasma in the plasma chamber.
26. The apparatus of claim 21 further comprising an electrode capacitively coupled to the chamber that generates free charges that assist the ignition of the plasma in the plasma chamber.
27. The apparatus of claim 21 further comprising an ultraviolet light source optically coupled to the chamber that generates free charges that assist the ignition of the plasma in the plasma chamber.
28. The apparatus of claim 21 further comprising a circuit for measuring electrical parameters associated with the primary winding and the plasma, the electrical parameters including one or more of a current driving the primary winding, a voltage across the primary winding, an average power in the primary winding, and a peak power in the primary winding.
29. The apparatus of claim 28 further comprising a power control circuit having an input coupled to an output of the circuit for measuring electrical parameters associated with the primary winding and the plasma and an output coupled to the solid state ac switching power supply, the power control circuit controlling voltage and current supplied to the primary winding.
31. The method of claim 30 further comprising forming a plasma chamber comprising a portion of an outer surface of the process chamber.
32. The method of claim 30 further comprising directing the dissociated gas to material to be processed.
33. The method of claim 30 further comprising extending the plasma into the process chamber.
34. The method of claim 30 further comprising providing an initial ionization event in the plasma chamber.
35. The method of claim 34 wherein the providing of the initial ionization event in the chamber comprises applying a voltage pulse to the primary winding.
36. The method of claim 34 wherein the providing of the initial ionization event in the chamber comprises exposing the chamber to ultraviolet light.
37. The method of claim 30 wherein the gas comprises at least one of a noble gas and a reactive gas.
38. The method of claim 30 further comprising measuring electrical parameters including at least one of the current passing though the primary winding, a voltage across the primary winding, an average power in the primary winding, and a peak power in the primary winding.
39. The method of claim 30 further comprising the step of adjusting a magnitude of the current generated by the solid state ac switching power supply from the measured electrical parameters and from predetermined operating conditions.
40. The method of claim 30 wherein a pressure in the plasma chamber is substantially between 1 mtorr and 100 torr.
41. The method of claim 30 wherein an electric field of the plasma is substantially between 1-100 V/cm.
43. The method of claim 42 wherein the reactive gas comprises at least one of an oxygen or a fluorine containing gas.
45. The apparatus of claim 44 wherein the chamber comprises aluminum.
46. The apparatus of claim 44 wherein the aluminum is anodized.
47. The apparatus of claim 44 wherein the chamber comprises cooling channels for passing a fluid that controls the temperature of the chamber.
50. The method of claim 49 wherein the method comprises etching the substrates.
51. The method of claim 50 wherein the method comprises depositing a dielectric material onto the substrates.

This is a continuation-in-part of patent application Ser. No. 09/659,881, filed on Sep. 12, 2000 now U.S. Pat. No. 6,486,431, which is a continuation of U.S. Ser. No. 08/883,281 now U.S. Pat. No. 6,150,628, filed on Jun. 26, 1997, the entire disclosure of which is incorporated herein by reference.

This invention relates generally to the field of generating activated gas containing ions, free radicals, atoms and molecules and to apparatus for and methods of processing materials with activated gas.

Plasma discharges can be used to excite gases to produce activated gases containing ions, free radicals, atoms and molecules. Activated gases are used for numerous industrial and scientific applications including processing solid materials such as semiconductor wafers, powders, and other gases. The parameters of the plasma and the conditions of the exposure of the plasma to the material being processed vary widely depending on the application.

For example, some applications require the use of ions with low kinetic energy (i.e. a few electron volts) because the material being processed is sensitive to damage. Other applications, such as anisotropic etching or planarized dielectric deposition, require the use of ions with high kinetic energy. Still other applications, such as reactive ion beam etching, require precise control of the ion energy.

Some applications require direct exposure of the material being processed to a high density plasma. One such application is generating ion-activated chemical reactions. Other such applications include etching of and depositing material into high aspect ratio structures. Other applications require shielding the material being processed from the plasma because the material is sensitive to damage caused by ions or because the process has high selectivity requirements.

Plasmas can be generated in various ways including DC discharge, radio frequency (RF) discharge, and microwave discharge. DC discharges are achieved by applying a potential between two electrodes in a gas. RF discharges are achieved either by electrostatically or inductively coupling energy from a power supply into a plasma. Parallel plates are typically used for electrostatically coupling energy into a plasma. Induction coils are typically used for inducing current into the plasma Microwave discharges are achieved by directly coupling microwave energy through a microwavepassing window into a discharge chamber containing a gas. Microwave discharges are advantageous because they can be used to support a wide range of discharge conditions, including highly ionized electron cyclotron resonant (ECR) plasmas.

RF, DC and microwave discharges are often used to generate plasmas for applications where the material being processed is in direct contact with the plasma. In addition, microwave and rf discharges are often used to produce streams of activated gas for “downstream” processing.

However, microwave and inductively coupled plasma sources require expensive and complex power delivery systems. These plasma sources require precision RF or microwave power generators and complex matching networks to match the impedance of the generator to the plasma source. In addition, precision instrumentation is usually required to ascertain and control the actual power reaching the plasma.

RF inductively coupled plasmas are particularly useful for generating large area plasmas for such applications as semiconductor wafer processing. However, prior art RF inductively coupled plasmas are not purely inductive because the drive currents are only weakly coupled to the plasma. Consequently, RF inductively coupled plasmas are inefficient and require the use of high voltages on the drive coils. The high voltages produce high electrostatic fields that cause high energy ion bombardment of reactor surfaces. The ion bombardment causes deterioration of the reactor and can contaminate the process chamber and the material being processed. The ion bombardment can also cause damage to the material being processed.

Faraday shields have been used in inductively coupled plasma sources to shield the high electrostatic fields. However, because of the relatively weak coupling of the drive coil currents to the plasma, large eddy currents form in the shields resulting in substantial power dissipation. The cost, complexity, and reduced power efficiency make the use of Faraday shields unattractive.

One embodiment of the invention is a material processing apparatus having an integrated toroidal plasma source. Another embodiment of the invention is a process chamber having an integrated toroidal plasma source for cleaning the chamber. The integrated plasma source uses a high efficiency RF power coupling device which couples power into a plasma without the use of conventional RF or microwave generators and impedance matching systems. Switching semiconductor devices are used to efficiently drive the primary winding of a power transformer that couples electromagnetic energy to a plasma so as to form a secondary circuit of the transformer.

The integrated toroidal plasma source of the present invention has numerous advantages. The integrated source can provide a combination of plasma and reactive species to the process chamber. The integrated source has relatively high efficiency. It can operate at relatively high process rates or with reduced gas usage. The integrated source can thus reduce hazardous waste exhaust gases and cost of operation. Also, the integrated source can be constructed with a metallic plasma chamber. In addition, the integrated source is relatively compact and inexpensive.

Accordingly, the present invention features a material processing apparatus that includes a process chamber. The process chamber has a sample holder positioned inside the process chamber that supports material to be processed. A power supply may be electrically coupled to the sample holder to bias the material to be processed relative to a potential of the plasma.

The apparatus also includes a plasma chamber comprising a portion of an outer surface of the process chamber. The plasma chamber may comprise a portion of a top surface of the process chamber. The plasma chamber may be formed from a metallic material such as aluminum or may be formed from a dielectric material such as quartz. The metallic material may be a refractory metal.

A transformer having a magnetic core surrounds a portion of the plasma chamber. A portion of the magnetic core may be positioned within the process chamber. The transformer has a primary winding. A solid state AC switching power supply comprising one or more switching semiconductor devices is coupled to a voltage supply and has an output coupled to the primary winding. The solid state AC switching power supply drives an AC current in the primary winding that induces an AC potential inside the chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas.

The apparatus may include a free charge generator, which assists the ignition of the plasma in the chamber. In one embodiment, an electrode is positioned in the chamber to generate the free charges. In another embodiment, an electrode is capacitively coupled to the chamber to generate the free charges. In another embodiment, an ultraviolet light source is optically coupled to the chamber to generate the free charges.

The apparatus may include a circuit for measuring electrical parameters of the primary winding and of the plasma. The circuit measures parameters such as the current driving the primary winding, the voltage across the primary winding, the bus supply voltage, the average power in the primary winding, and the peak power in the primary winding. A power control circuit may be coupled to the circuit for measuring electrical parameters of the primary winding and the plasma. The power control circuit regulates the current flowing through the primary windings based upon a measurement of the electrical properties of the primary winding and of the plasma and from a predetermined set point representing a desired operating condition.

The present invention also features a process chamber having integrated chamber cleaning apparatus. The process chamber includes a plasma chamber comprising a portion of an outer surface of the process chamber. The plasma chamber may be formed from a metallic material such as aluminum or may be formed from a dielectric material such as quartz. The metallic material may be a refractory metal.

A transformer having a magnetic core surrounds a portion of the plasma chamber. A portion of the magnetic core may be positioned within the process chamber. The transformer has a primary winding. A solid state AC switching power supply comprising one or more switching semiconductor devices is coupled to a voltage supply and has an output coupled to the primary winding. The solid state AC switching power supply drives an AC current in the primary winding that induces an AC potential inside the chamber that directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas.

The apparatus may include a free charge generator, which assists the ignition of the plasma in the chamber. In another embodiment, an electrode is positioned in the chamber to generate the free charges. In another embodiment, an electrode is capacitively coupled to the chamber to generate the free charges. In another embodiment, an ultraviolet light source is optically coupled to the chamber to generate the free charges.

The apparatus may include a circuit for measuring electrical parameters of the primary winding and of the plasma. The circuit measures parameters such as the current driving the primary winding, the voltage across the primary winding, the bus supply voltage, the average power in the primary winding, and the peak power in the primary winding. A power control circuit may be coupled to the circuit for measuring electrical parameters of the primary winding and the plasma. The power control circuit regulates the current flowing through the primary windings based upon a measurement of the electrical properties of the primary winding and of the plasma and from a predetermined set point representing a desired operating condition.

The present invention also features a method for delivering reactive neutral species to a process chamber. The method includes confining a gas in a plasma chamber comprising a portion of the outer surface of the process chamber. A current is generated with a solid state AC switching power supply. An AC potential is induced inside the plasma chamber by passing the current though a primary winding of a transformer having a magnetic core surrounding a portion of the chamber. The induced AC potential directly forms a toroidal plasma that completes a secondary circuit of the transformer and dissociates the gas. The dissociated gas is then directed into the process chamber. The dissociated gas may be directed to material to be processed or may be used to clean the process chamber.

In one embodiment, the method includes providing an initial ionization event in the plasma chamber. The initial ionization event can be provided in numerous ways. For example, the initial ionization event can be provided by applying a voltage pulse to the primary winding. The initial ionization event can also be provided by exposing the chamber to ultraviolet light. In addition, the initial ionization event can be provided by positioning an electrode in the chamber and energizing the electrode to generate free charges. Furthermore, the initial ionization event can be provided by capacitively coupling energy into the chamber to generate the free charges. In one embodiment, the method include measuring electrical parameters including at least one of the current passing though the primary winding, a voltage across the primary winding, an average power in the primary winding, and a peak power in the primary winding. The magnitude of the current generated by the solid state AC switching power supply may be adjusted in response to the measured electrical parameters and from predetermined operating conditions.

This invention is described with particularity in the appended claims. The above and further advantages of this invention may be better understood by referring to the following description taken in conjunction with the accompanying drawings, in which:

FIG. 1 is a schematic representation of a toroidal low-field plasma source for producing activated gases that embodies the invention.

FIG. 2 illustrates a plot of etch rate of thermal silicon dioxide as a function of NF3 feed gas flow rate, using the toroidal low-field plasma source that embodies the invention.

FIG. 3 is a schematic representation of a metallic plasma chamber that may be used with the toroidal low-field plasma source described in connection with FIG. 1.

FIG. 4 is a schematic representation of a dielectric spacer suitable for the dielectric regions illustrated in FIG. 3 that prevent induced current flow from forming in the plasma chamber.

FIG. 5 is a schematic representation of a toroidal low-field ion beam source that embodies the invention and that is configured for high intensity ion beam processing.

FIG. 6 is a schematic block diagram of a solid state switching power supply that includes the one or more switching semiconductor devices of FIG. 1.

FIG. 7a though 7d are schematic cross-sectional representations of embodiments of a material processing apparatus according to the present invention.

FIG. 1 is a schematic representation of a toroidal low-field plasma source 10 for producing activated gases that embodies the invention. The source 10 includes a power transformer 12 that couples electromagnetic energy into a plasma 14. The power transformer 12 includes a high permeability magnetic core 16, a primary coil 18, and a plasma chamber 20 which allows the plasma 14 to form a secondary circuit of the transformer 12. The power transformer 12 can include additional magnetic cores and conductor primary coils (not shown) that form additional secondary circuits.

The plasma chamber 20 may be formed from a metallic material such as aluminum or a refractory metal, or may be formed from a dielectric material such as quartz. One or more sides of the plasma chamber 20 may be exposed to a process chamber 22 to allow charged particles generated by the plasma 14 to be in direct contact with a material to be processed (not shown). A sample holder 23 may be positioned in the process chamber 22 to support the material to be processed. The material to be processed may be biased relative to the potential of the plasma.

A voltage supply 24, which may be a line voltage supply or a bus voltage supply, is directly coupled to a circuit 26 containing one or more switching semiconductor devices. The one or more switching semiconductor devices may be switching transistors. The circuit may be a solid state switching power supply. An output 28 of the circuit 26 may be directly coupled to the primary winding 18 of the transformer 12.

The toroidal low field plasma source 10 may include a means for generating free charges that provides an initial ionization event that ignites a plasma in the plasma chamber 20. The initial ionization event may be a high voltage pulse that is applied to the plasma chamber. In one embodiment, the pulse has a voltage of approximately 500-10,000 volts and is approximately 0.1 to 10 microseconds long. In another embodiment, longer pulses are used. Pulses having a duration ranging from a few seconds or less to approximately 40 seconds are used. A noble gas such as argon may be inserted into the plasma chamber 20 to reduce the voltage required to ignite a plasma. Ultraviolet radiation may also be used to generate the free charges in the plasma chamber 20 that provide the initial ionization event that ignites the plasma in the plasma chamber 20.

In a preferred embodiment, the short, high voltage electric pulse is applied directly to the primary coil 18 to provide the initial ionization event. In another preferred embodiment, the short, high voltage electric pulse is applied to an electrode 30 positioned in the plasma chamber 20. In another preferred embodiment, the short, high voltage electric pulse is applied to an electrode 32 that is capacitively coupled to the plasma chamber 20 by a dielectric. The frequency of pulses applied to the electrode 32 that is capacitively coupled to the plasma chamber 20 by a dielectric may be varied to increase the ignition voltage. In another preferred embodiment, the plasma chamber 20 is exposed to ultraviolet radiation emitting from an ultraviolet light source 34 that is optically coupled to the plasma chamber 20. The ultraviolet radiation causes the initial ionization event that ignites the plasma. In another preferred embodiment, the frequency of pulses applied to the electrode 32 is varied to causes the initial ionization event that ignites the plasma.

The toroidal low field plasma source 10 may also include a circuit 36 for measuring electrical parameters of the primary winding 18. Electrical parameters of the primary winding 18 include the current driving the primary winding 18, the voltage across the primary winding 18, the bus or line voltage supply generated by the voltage supply 24, the average power in the primary winding 18, and the peak power in the primary winding 18.

In addition, the plasma source 10 may include a means for measuring relevant electrical parameters of the plasma 14. Relevant electrical parameters of the plasma 14 include the plasma current and power. For example, the source 10 may include a current probe 38 positioned around the plasma chamber 20 to measure the plasma current flowing in secondary of the transformer 12. The plasma source 10 may also include an optical detector 40 for measuring the optical emission from the plasma 14. In addition, the plasma source 10 may include a power control circuit 42 that accepts data from one or more of the current probe 38, the power detector 40, and the circuit 26 and then adjusts the power in the plasma by adjusting the current in the primary winding 18.

In operation, a gas is bled into the plasma chamber 20 until a pressure substantially between 1 mtorr and 100 torr is reached. The gas may comprise a noble gas, a reactive gas or a mixture of at least one noble gas and at least one reactive gas. The circuit 26 containing switching semiconductor devices supplies a current to the primary winding 18 that induces a potential inside the plasma chamber. The magnitude of the induced potential depends on the magnetic field produced by the core and the frequency at which the switching semiconductor devices operate according to Faraday's law of induction. An ionization event that forms the plasma may be initiated in the chamber. The ionization event may be the application of a voltage pulse to the primary winding or to the electrode 30 in the chamber 20. Alternatively, the ionization event may be exposing the chamber to ultraviolet radiation.

Once the gas is ionized, a plasma is formed which completes a secondary circuit of the transformer. The electric field of the plasma may be substantially between 1-100 V/cm. If only noble gases are present in the plasma chamber 20, the electric fields in the plasma 14 may be as low as 1 volt/cm. If, however, electronegative gases are present in the chamber, the electric fields in the plasma 14 are considerably higher. Operating the plasma source 10 with low electric fields in the plasma chamber 14 is desirable because a low potential difference between the plasma and the chamber will substantially reduce erosion of the chamber by energetic ions and the resulting contamination to the material being processed.

The power delivered to the plasma can be accurately controlled by a feedback loop 44 that comprises the power control circuit 42, the circuit 36 for measuring electrical parameters of the primary winding 18 and the circuit 26 containing one or more switching semiconductor devices. In addition, the feedback loop 44 may include the current probe 38 and optical detector 40.

In a preferred embodiment, the power control circuit 42 measures the power in the plasma using the circuit 36 for measuring electrical parameters of the primary winding 18. The power control circuit 42 then compares the measurement to a predetermined setpoint representing a desired operating condition and adjusts one or more parameters of the circuit 26 to control the power delivered to the plasma. The one or more parameters of circuit 26 include pulse amplitude, frequency, pulse width, and relative phase of the drive pulses to the one or more switching semiconductor devices.

In another preferred embodiment, the power control circuit 42 measures the power in the plasma using the current probe 38 or the optical detector 40. The power control circuit 42 then compares the measurement to a predetermined setpoint representing a desired operating condition and adjusts one or more parameters of the circuit 26 to control the power delivered to the plasma.

The plasma source 10 is advantageous because its conversion efficiency of line power into power absorbed by the plasma is very high compared with prior art plasma sources. This is because the circuit 26 containing one or more switching semiconductor devices that supplies the current to the primary winding 18 is highly efficient. The conversion efficiency may be substantially greater than 90%. The plasma source 10 is also advantageous because it does not require the use of conventional impedance matching networks or conventional RF power generators. This greatly reduces the cost and increases the reliability of the plasma source.

In addition, the plasma source 10 is advantageous because it operates with low electric fields in the plasma chamber 20. Low electric fields are desirable because a low potential difference between the plasma and the chamber will substantially reduce energetic ion bombardment within the plasma chamber 20. Reducing energetic ion bombardment in the plasma chamber 20 is desirable because it minimizes the production of contaminating materials within the plasma chamber 20, especially when chemically reactive gases are used. For example, when fluorine based gases such as NF3 and CF4/02 are used in the plasma source 10 of the present invention, including a plasma chamber formed from a fluorine resistant material, no or minimal erosion of the chamber was observed after extended exposure to the low ion temperature fluorine plasma.

The plasma source 10 is useful for processing numerous materials such as solid surfaces, powders, and gases. The plasma source 10 is particularly useful for cleaning process chambers in semiconductor processing equipment such as thin film deposition and etching systems. The plasma source 10 is also particularly useful for providing an ion source for ion implantation and ion milling systems.

In addition, the plasma source 10 is useful for providing a source for etching systems used for etching numerous materials used to fabricate semiconductor devices such as silicon, silicon dioxide, silicon nitride, aluminum, molybdenum, tungsten and organic materials such as photoresists, polyimades and other polymeric materials. The plasma source 10 is also useful for providing a source for plasma enhanced deposition of materials of numerous thin films such as diamond films, silicon dioxide, silicon nitride, and aluminum nitride.

The plasma source is also useful for generating reactive gases such as atomic fluorine, atomic chlorine, atomic hydrogen, atomic bromine and atomic oxygen. Such reactive gases are useful for reducing, converting, stabilizing or passivating various oxides such as silicon dioxide, tin oxide, zinc oxide and indium-tin oxide. Applications include fluxless soldering, removal of silicon dioxide from silicon surface, passivation of silicon surface prior to wafer processing, and cleaning of silicon surfaces prior to subsequent processing steps.

Other applications of the plasma source 10 include modification of surface properties of polymers, metals, ceramics and papers. The plasma source 10 may also be used for abatement of environmentally hazardous gases including fluorine containing compounds such as CF4, NF3, C2F6, CHF3, SF6, and organic compounds such as dioxins and furans and other volatile organic compounds. In addition, the plasma source 10 may be used to generate high fluxes of atomic oxygen, atomic chlorine, or atomic fluorine for sterilization. The plasma source 10 may also be used in an atmospheric pressure torch.

FIG. 2 illustrates a plot of etch rate of thermal silicon dioxide as a function of NF3 feed gas flow rates using the toroidal low-field plasma source that embodies the invention. The toroidal low-field plasma source 10 was configured as a downstream atomic fluorine source. The power was approximately 3.5 kW.

FIG. 3 is a schematic representation of a metallic plasma chamber 100 that may be used with the toroidal low-field plasma source described in connection with FIG. 1. The plasma chamber 100 is formed from a metal such as aluminum, copper, nickel and steel. The plasma chamber 100 may also be formed from a coated metal such as anodized aluminum or nickel plated aluminum. The plasma chamber 100 includes imbedded cooling channels 102 for passing a fluid that controls the temperature of the plasma chamber 100.

As shown, a first 104 and a second high permeability magnetic core 106 surround the plasma chamber 100. The magnetic cores 104, 106 are part of the transformer 12 of FIG. 1. As described in connection with FIG. 1, each of the first 104 and the second core 106 induce a potential inside the chamber that forms a plasma which completes a secondary circuit of the transformer 12. Only one magnetic core is required to operate the toroidal low-field plasma source.

Applicants have discovered that an inductively-driven toroidal low-field plasma reactive gas source can be made with a metallic plasma chamber. Prior art inductively coupled plasma sources use plasma chambers formed from dielectric material so as to prevent induced current flow from forming in the plasma chamber itself. The plasma chamber 100 of this invention includes at least one dielectric region that electrically isolates a portion of the plasma chamber 100 so that electrical continuity through the plasma chamber 100 is broken. The electrical isolation prevents induced current flow from forming in the plasma chamber itself.

The plasma chamber 100 includes a first 108 and a second dielectric region 110 that prevents induced current flow from forming in the plasma chamber 100. The dielectric regions 108, 110 electrically isolate the plasma chamber 100 into a first 112 and a second region 114. Each of the first 112 and the second region 114 is joined with a high vacuum seal to the dielectric regions 108, 110 to form the plasma chamber 100. The high vacuum seal may be comprised of an elastomer seal or may be formed by a permanent seal such as a brazed joint. In order to reduce contamination, the dielectric regions 108, 110 may be protected from the plasma. The dielectric regions 108, 110 may comprise a dielectric spacer separating mating surface 116 of the plasma chamber 100, or may be a dielectric coating on the mating surface 116.

In operation, a feed gas flows into an inlet 118. As described in connection with FIG. 1, each of the first 104 and the second core 106 induce a potential inside the plasma chamber 100 that forms a plasma which completes a secondary circuit of the transformer 12. Note that only one magnetic core is required to operate the toroidal low-field plasma source.

The use of metal or coated metal chambers in toroidal low-field plasma sources is advantageous because some metals are more highly resistant to certain chemicals commonly used in plasma processing, such as fluorine based gases. In addition, metal or coated metal chambers may have much higher thermal conductivity at much higher temperatures than dielectric chambers and, therefore, can generate much higher power plasmas.

FIG. 4 is a schematic representation of a dielectric spacer 150 suitable for the dielectric regions illustrated in FIG. 3 that prevent induced current flow from forming in the plasma chamber. In this embodiment, a high vacuum seal 152 is formed outside the dielectric spacer 150. The dielectric region is protected from the plasma by protruded chamber wall 100.

FIG. 5 is a schematic representation of an ion beam source 200 including an toroidal low-field plasma generator that embodies the invention. The ion beam source 200 may be used for numerous ion beam processing applications including ion milling and ion implantation. The ion beam source 200 includes toroidal low field plasma source 202 comprising the metallic plasma chamber 100 described in connection with FIG. 3. The plasma chamber 100 includes a slit 204 for extracting ions generated by the plasma out of the chamber 100. Accelerating electrodes 206 accelerate the ions passing out of the chamber 100 with a predetermined electric field thereby forming an ion beam where the ions have a predetermined energy.

A mass-separating magnet 208 may be positioned in the path of the accelerated ions to select a desired ion species. A second set of accelerating electrodes may be used to accelerate the desired ion species to a predetermined high energy. An ion lens may be used to focus the high energy ion beam. A vertical 212 and a horizontal axis scanner 214 may be used to scan the ion beam across a sample 216. A deflector 218 may be used to separate the ion beam from any neutral particles so that the ion beam impacts the sample 216 and the neutral particles impact a neutral trap 220.

FIG. 6 is a schematic block diagram of a solid state switching power supply 250 that includes the one or more switching semiconductor devices of FIG. 1. Applicants have discovered that switching semiconductor devices can be used to drive the primary winding of a power transformer that couples electromagnetic energy to a plasma so as to form a secondary circuit of the transformer.

The use of a switching power supply in toroidal low-field plasma source is advantageous because switching power supplies are much less expensive and are physically much smaller in volume and lighter in weight than the prior art RF and microwave power supplies used to power plasma sources. This is because switching power supplies do not require a line isolation circuit or an impedance matching network.

The present invention can use any switching power supply configuration to drive current in the primary winding 18 (FIG. 1). For example, the switching power supply 250 may include a filter 252 and a rectifier circuit 254 that is coupled to a line voltage supply 256. An output 258 of the filter 252 and the rectifier circuit 254 produces a DC voltage which is typically several hundred volts. The output 258 is coupled to a current mode control circuit 260.

The current mode control circuit 260 is coupled to a first 262, 262a and a second isolation driver 264, 264a. The first 262, 262a and the second isolation driver 264, 264a drives a first 266 and a second pair of switching transistors 268. The switching transistors may be IGBT or FET devices. The output of the first 266 and the second pair of switching transistors 268 may have numerous waveforms including a sinusoidal waveform. The output of the switching transistors is coupled by the primary winding and magnetic core 269 to the toroidal plasma 270, which forms the transformer secondary.

Another aspect of the present invention is that an apparatus for dissociating and activating gases according to the present invention can be integrated directly into a surface of the plasma chamber, such as the lid of the plasma chamber. Such a source can be used to provide reactive neutral species, such as O, F, H, N, Cl, and Br, directly to a process chamber without having to pass the reactive neutral species though an intermediate region. Such a source can also deliver a plasma to the process chamber. The integrated source provides more efficient transport of reactive neutral species into the process chamber.

FIG. 7a though 7d are schematic cross-sectional representations of embodiments of a material processing apparatus 300a-d according to the present invention. The material processing apparatus 300a-d includes an inductively-coupled toroidal plasma source 302a-d, as described herein, and a process chamber 304a-d for processing substrates 306a-d.

The inductively-coupled toroidal plasma source 302a-d includes a plasma chamber 308a-d having a side 309a-d that is open to the process chamber 304a-d. In one embodiment, the plasma chamber 308a-d comprises a portion of a top surface of the process chamber 304a-d. In one embodiment, the plasma chamber 308a-d comprises a removable lid that is a portion of the process chamber 304a-d.

In one embodiment, the plasma chamber 308a-d is a metallic plasma chamber, such as the metallic plasma chamber described herein in connection with FIGS. 3 though 5. The metal chambers include at least one dielectric spacer that prevents induced current flow from forming in the plasma chamber. Metal chambers are advantageous because some metals are more highly resistant to certain chemicals commonly used in plasma processing. Also, metal chambers have much higher thermal conductivity and can tolerate much higher temperatures compared with dielectric chambers. Therefore, metal chambers can be used to generate much higher power plasmas. The plasma chamber 308a-d may include imbedded cooling channels, as described herein, for passing a fluid that controls the temperature of the plasma chamber 308a-d.

The inductively-coupled toroidal plasma source 302a-b shown in FIGS. 7a and 7b includes a first 310a-b and a second high permeability magnetic core 312a-b that surround the plasma chamber 308a-b. In other embodiments, any number of high permeability magnetic cores can be used. The magnetic cores 310a-b, 312a-b are part of the transformer 12 described in connection with FIG. 1. Each of the magnetic cores 310a-b, 312a-b induces a potential inside the chamber that forms a plasma 314a-b that completes a secondary circuit of the transformer.

The inductively-coupled toroidal plasma sources 302c-d shown in FIGS. 7c and 7d include only one high permeability magnetic core 310c-d that surrounds the plasma chamber 308c-d. This can allow for different plasma configurations that may be optimized for other applications. This also allows for flexibility in packaging the source onto or as part of a processing chamber.

FIGS. 7d illustrates an inductively-coupled toroidal plasma source 302d having the high permeability magnetic core 310d partially located in the processing chamber 304d. Positioning the magnetic core 310d in the processing chamber 304d causes the resulting plasma 314d to extend further into process chamber 304d. This configuration is useful for providing both reactive neutral species and a plasma 314d to the substrates being processed. This configuration is also useful for providing both reactive neutral species and a plasma 314d to the process chamber 304d in order to clean the process chamber 304d.

In operation, a feed gas flows into an inlet 316a-d, as described in connection with FIG. 1. In the embodiments shown in FIGS. 7a and 7b, each of the first 310a-b and the second high permeability magnetic cores 312a-b that surround the plasma chamber 308a-b induce a potential inside the plasma chamber 304a-b that forms a plasma 314a-b which completes a secondary circuit of the transformer 12. In the embodiments shown in FIGS. 7c and 7d, the high permeability magnetic cores 310c-d that surround the plasma chamber 308c-d induce a potential inside the plasma chamber 304c-d that forms a plasma 314c-d which completes a secondary circuit of the transformer 12.

The plasma 314a-d formed in the plasma chamber 308a-d extends into the process chamber 304a-d. In one embodiment, reactive neutral species are delivered to substrates 306a-d being processed within the process chamber 304a-d. In other embodiments, reactive neutral species are delivered to the process chamber 304a-d in order to clean the process chamber 304a-d. In some of these embodiments, the material processing apparatus 300a-d is configured and operated to deliver both reactive neutral species and a plasma to substrates 306a-d being processed or to the process chamber 304a-d in order to clean the process chamber 304a-d.

Integrating the toroidal plasma source 302a-d into a surface of the process chamber 304a-d, such as a removable lid of the process chamber, has numerous advantages. One advantage is that the integrated toroidal plasma generator is more efficient and, therefore, has higher process rates or lower input gas usage requirements compared with external toroidal plasma generators. The integrated toroidal plasma generator can also be operated efficiently at higher pressures compared with the external toroidal plasma generators described herein.

The integrated toroidal plasma source has higher efficiency because the reactive neutral species generated by the source do not have to travel though an intermediate region in order to reach the interior of the process chamber, where they are used for cleaning the process chamber or for processing substrates. Passing the reactive neutral species though an intermediate region causes some of the reactive neutral species to interact with the surface of the intermediate region and become more stable species due to recombination. The recombination reduces the density of reactive neutral species and, therefore, the efficiency of the source.

Reducing the input gas flow requirements is particularly advantageous because it reduces the cost of operating the source. For some applications requiring the use of expensive process gases, such as NF3, reducing the gas flow significantly reduces the cost of operating the source. In addition, reducing the gas flow reduces the abatement requirements when using toxic gases. For example, in sources operating with NF3, atomic fluorine (F) recombines into molecular fluorine (F2) and must be removed by an abatement apparatus. Furthermore, reducing the gas flow reduces the required pumping speed and, therefore reduces the cost of the vacuum system and the associated utilities.

Another advantage of the integrated toroidal plasma source of the present invention is that the generator can be designed and configured to deliver a plasma to the process chamber, in addition to delivering a reactive neutral species to the process chamber. Thus, the integrated source can provide a combination of plasma and reactive species to the process chamber.

Another advantage of the integrated toroidal plasma generator of the present invention is that the integrated source is more compact compared with the external toroidal plasma generators. This feature makes the integrated source more suitable for compact processing systems. In addition, the integrated source may be less expensive and, therefore, reduce the overall cost of the processing system.

Equivalents

While the invention has been particularly shown and described with reference to specific preferred embodiments, it should be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention as defined by the appended claims.

Chen, Xing, Smith, Donald K., Holber, William M.

Patent Priority Assignee Title
10014162, Aug 28 2015 DAIHEN CORPORATION Plasma generation apparatus for generating toroidal plasma
10264663, Oct 18 2017 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
10283325, Oct 10 2012 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
10443150, May 21 2015 Plasmability, LLC Toroidal plasma processing apparatus with a shaped workpiece holder
10524848, Mar 06 2013 Covidien LP System and method for sinus surgery
10586686, Nov 22 2011 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
10622195, Nov 22 2011 Lam Research Corporation Multi zone gas injection upper electrode system
10704161, May 21 2015 Plasmability, LLC Toroidal plasma processing apparatus with a shaped workpiece holder
11127571, Nov 22 2011 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
11437221, Nov 17 2017 Advanced Energy Industries, Inc Spatial monitoring and control of plasma processing environments
11615943, Jul 07 2017 Advanced Energy Industries, Inc Inter-period control for passive power distribution of multiple electrode inductive plasma source
11651939, Jul 07 2017 Advanced Energy Industries, Inc Inter-period control system for plasma power delivery system and method of operating same
11670487, Jan 26 2022 Advanced Energy Industries, Inc Bias supply control and data processing
11842884, Nov 17 2017 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
11942309, Jan 26 2022 Advanced Energy Industries, Inc Bias supply with resonant switching
11978613, Sep 01 2022 Advanced Energy Industries, Inc Transition control in a bias supply
7353771, Nov 07 2005 BARCLAYS BANK PLC, AS COLLATERAL AGENT Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator
7510666, Sep 26 2003 The United States of America as represented by the Secretary of the Navy Time continuous ion-ion plasma
8222822, Oct 27 2009 Covidien LP Inductively-coupled plasma device
8319436, Feb 02 2009 AES GLOBAL HOLDINGS, PTE LTD Passive power distribution for multiple electrode inductive plasma source
8575843, May 30 2008 Colorado State University Research Foundation System, method and apparatus for generating plasma
8692466, Feb 27 2009 BARCLAYS BANK PLC, AS COLLATERAL AGENT Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator
8742665, Nov 18 2009 Applied Materials, Inc Plasma source design
8742669, Feb 01 2010 AES GLOBAL HOLDINGS, PTE LTD Passive power distribution for multiple electrode inductive plasma source
8771538, Nov 18 2009 Applied Materials, Inc Plasma source design
8872525, Nov 21 2011 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
8878434, Oct 27 2009 Covidien LP Inductively-coupled plasma device
8898889, Nov 22 2011 Lam Research Corporation Chuck assembly for plasma processing
8994270, May 30 2008 Colorado State University Research Foundation System and methods for plasma application
8999104, Aug 06 2010 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
9028656, May 30 2008 Colorado State University Research Foundation Liquid-gas interface plasma device
9083182, Nov 23 2011 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
9111729, Dec 03 2009 Lam Research Corporation Small plasma chamber systems and methods
9155181, Aug 06 2010 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
9177762, Nov 16 2011 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
9190289, Feb 26 2010 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
9263240, Nov 23 2011 Lam Research Corporation Dual zone temperature control of upper electrodes
9272359, May 30 2008 Colorado State University Research Foundation Liquid-gas interface plasma device
9287091, May 30 2008 Colorado State University Research Foundation System and methods for plasma application
9288886, May 30 2008 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
9396908, Nov 22 2011 Lam Research Corporation Systems and methods for controlling a plasma edge region
9449793, Aug 06 2010 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
9474142, Jun 14 2014 PLUSWARE CORPORATION Plasma generating apparatus and on-liquid melting method
9508530, Nov 24 2011 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
9532826, Mar 06 2013 Covidien LP System and method for sinus surgery
9555145, Mar 13 2013 Covidien LP System and method for biofilm remediation
9630142, Mar 14 2013 BARCLAYS BANK PLC, AS COLLATERAL AGENT Toroidal plasma abatement apparatus and method
9735020, Feb 26 2010 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
9909215, Mar 15 2013 Plasmability, LLC Method of CVD plasma processing with a toroidal plasma processing apparatus
9911578, Dec 03 2009 Lam Research Corporation Small plasma chamber systems and methods
9967965, Aug 06 2010 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
9991098, Mar 14 2013 BARCLAYS BANK PLC, AS COLLATERAL AGENT Toroidal plasma abatement apparatus and method
Patent Priority Assignee Title
2981902,
3054742,
3109801,
3278384,
3343022,
3433705,
3500118,
3509500,
3663361,
3794941,
3906405,
3987334, Jan 20 1975 General Electric Company Integrally ballasted electrodeless fluorescent lamp
4057462, Feb 26 1975 The United States of America as represented by the United States Energy Radio frequency sustained ion energy
4073680, Jun 26 1975 The United States of America as represented by the United States Toroidal band limiter for a plasma containment device
4088926, May 10 1976 Plasma cleaning device
4095198, Jan 31 1977 GTE Government Systems Corporation Impedance-matching network
4110595, Jun 19 1975 The United States of America as represented by the United States High-frequency plasma-heating apparatus
4180763, Jan 25 1978 General Electric Company High intensity discharge lamp geometries
4201960, May 24 1978 Motorola, Inc. Method for automatically matching a radio frequency transmitter to an antenna
4252609, Nov 24 1978 The United States of America as represented by the United States Crossed-field divertor for a plasma device
4263096, Feb 02 1976 UNITED STATES OF AMERICA, AS REPRESENTED BY THE UNITED STATES DEPARTMENT OF ENERGY Toroidal magnet system
4282267, Sep 20 1979 AT & T TECHNOLOGIES, INC , Methods and apparatus for generating plasmas
4292125, Aug 21 1978 Massachusetts Institute of Technology System and method for generating steady state confining current for a toroidal plasma fusion reactor
4368092, Apr 02 1981 Lam Research Corporation Apparatus for the etching for semiconductor devices
4431898, Sep 01 1981 Lam Research Corporation Inductively coupled discharge for plasma etching and resist stripping
4431901, Jul 02 1982 The United States of America as represented by the United States Induction plasma tube
4486722, Feb 18 1982 Rockwell International Corporation Pin diode switched impedance matching network having diode driver circuits transparent to RF potential
4486723, Jan 06 1983 RCA Corporation Diode switching system for a selectable impedance matching network
4601871, May 17 1983 The United States of America as represented by the United States Steady state compact toroidal plasma production
4626400, Jun 01 1983 The United States of America as represented by the United States Variable control of neutron albedo in toroidal fusion devices
4679007, May 20 1985 ADVANCED ENERGY, INC Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load
4689192, Aug 30 1983 Mitsubishi Denki Kabushiki Kaisha Nuclear fusion reactor
4732761, Mar 23 1985 Nippon Telegraph and Telephone Corporation Thin film forming apparatus and method
4735765, Nov 26 1985 United States of America as represented by the United States Department of Energy Flexible helical-axis stellarator
4748383, Nov 04 1985 U S PHILIPS CORPORATION, A CORP OF DE DC-AC converter for igniting and supplying a discharge lamp
4767590, Apr 25 1986 The United States of America as represented by the United States Anomalous - viscosity current drive
4780803, Oct 02 1986 G. H. Industrial S.A. High frequency generator to be used in induction heating, laser, plasma and the alike
4786352, Sep 12 1986 Benzing Technologies, Inc.; BENZING TECHNOLOGIES, INC , A CORP OF CA Apparatus for in-situ chamber cleaning
4794217, Apr 01 1985 Qing Hua University Induction system for rapid heat treatment of semiconductor wafers
4859399, Oct 13 1977 FDX Patents Holding Company, N.V. Modular fusion power apparatus using disposable core
4861622, Sep 21 1985 Semiconductor Energy Laboratory Co., Ltd. Method for forming a film coat on the inside of a depression
4863671, Jun 02 1986 Hitachi, Ltd. Plasma confinement system
4877757, Jul 16 1987 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
4878149, Feb 05 1987 Sorbios Verfahrenstechnische Gerate und GmbH Device for generating ions in gas streams
4908492, May 11 1988 Hitachi, Ltd. Microwave plasma production apparatus
4918031, Dec 28 1988 Tokyo Electron Limited Processes depending on plasma generation using a helical resonator
4985113, Mar 10 1989 Hitachi, Ltd. Sample treating method and apparatus
4996077, Oct 07 1988 Texas Instruments Incorporated; TEXAS INSTRUMENTS INCORPORATED, A CORP OF DE Distributed ECR remote plasma processing and apparatus
5030889, Dec 21 1989 General Electric Company Lamp ballast configuration
5061838, Jun 23 1989 Massachusetts Institute of Technology Toroidal electron cyclotron resonance reactor
5130003, Jun 14 1990 method of powering corona discharge in ozone generators
5153484, Oct 31 1991 General Electric Company Electrodeless high intensity discharge lamp excitation coil and ballast configuration for maximum efficiency
5187454, Jan 23 1992 APPLIED MATERIALS, INC , A CORP OF DE Electronically tuned matching network using predictor-corrector control system
5200595, Apr 12 1991 TEKNA PLASMA SYSTEMS, INC High performance induction plasma torch with a water-cooled ceramic confinement tube
5254830, May 07 1991 SPEEDFAM-IPEC CORPORATION, A DELAWARE CORPORATION System for removing material from semiconductor wafers using a contained plasma
5277751, Jun 18 1992 Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
5290382, Dec 13 1991 INTEGRATED PROCESS EQUIPMENT CORP Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
5303139, Jul 31 1991 Leybold Systems GmbH Low frequency, pulsed, bipolar power supply for a plasma chamber
5336355, Dec 13 1991 INTEGRATED PROCESS EQUIPMENT CORP Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films
5346578, Nov 04 1992 Novellus Systems, Inc Induction plasma source
5364600, Nov 02 1990 TRAILIGAZ-COMPAGNIE GENERALE DE L OZONE Apparatus for producing ozone from oxygen
5401350, Mar 08 1993 Lam Research Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
5405480, Nov 04 1992 Novellus Systems, Inc. Induction plasma source
5406177, Apr 18 1994 General Electric Company Gas discharge lamp ballast circuit with compact starting circuit
5414238, Oct 02 1992 Lockheed Martin Corporation Resonant power supply for an arcjet thruster
5430355, Jul 30 1993 Texas Instruments Incorporated RF induction plasma source for plasma processing
5440206, Jun 26 1992 Tokyo Electron Limited Plasma processing apparatus comprising means for generating rotating magnetic field
5458732, Apr 14 1992 Texas Instruments Incorporated Method and system for identifying process conditions
5460689, Feb 28 1994 Applied Materials, Inc High pressure plasma treatment method and apparatus
5468296, Dec 17 1993 LSI Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
5472561, Dec 07 1993 Sematech, Inc. Radio frequency monitor for semiconductor process control
5474648, Jul 29 1994 Bell Semiconductor, LLC Uniform and repeatable plasma processing
5479072, Nov 12 1991 General Electric Company Low mercury arc discharge lamp containing neodymium
5505780, Mar 18 1992 International Business Machines Corporation; INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NY High-density plasma-processing tool with toroidal magnetic field
5506507, Sep 18 1992 Hugle Electronics Incorporated Apparatus for measuring ions in a clean room gas flow using a spherical electrode
5514246, Jun 02 1994 Micron Technology, Inc Plasma reactors and method of cleaning a plasma reactor
5556549, May 02 1994 LSI Corporation Power control and delivery in plasma processing equipment
5565247, Aug 30 1991 Canon Kabushiki Kaisha Process for forming a functional deposited film
5573595, Sep 29 1995 Lam Research Corporation Methods and apparatus for generating plasma
5576629, Oct 24 1994 Advanced Energy Industries, Inc Plasma monitoring and control method and system
5618382, Oct 03 1989 Applied Materials, Inc High-frequency semiconductor wafer processing apparatus and method
5630880, Mar 07 1996 Method and apparatus for a large volume plasma processor that can utilize any feedstock material
5643364, Nov 30 1994 Applied Materials, Inc. Plasma chamber with fixed RF matching
5654679, Jun 13 1996 RF POWER PRODUCTS, INC Apparatus for matching a variable load impedance with an RF power generator impedance
5670881, Feb 09 1996 Toshiba America, Inc. Discrete step remotely adjustable matching circuit for MRI RF coils
5712592, Mar 06 1995 Applied Materials, Inc RF plasma power supply combining technique for increased stability
5747935, Apr 16 1992 Advanced Energy Industries, Inc. Method and apparatus for stabilizing switch-mode powered RF plasma processing
5756400, Dec 08 1995 Applied Materials, Inc Method and apparatus for cleaning by-products from plasma chamber surfaces
5773919, Oct 02 1986 Electron Power Systems Electron spiral toroid
5811022, Nov 15 1994 MATTSON TECHNOLOGY, INC Inductive plasma reactor
5939886, Oct 24 1994 Advanced Energy Industries, Inc Plasma monitoring and control method and system
5998933, Apr 06 1998 Advanced Energy Industries, Inc RF plasma inductor with closed ferrite core
6007879, Apr 07 1995 Advanced Energy Industries, Inc. Adjustable energy quantum thin film plasma processing system
6164241, Jun 30 1998 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
6253704, Oct 13 1995 MATTSON TECHNOLOGY, INC Apparatus and method for pulsed plasma processing of a semiconductor substrate
6424232, Nov 30 1999 AES GLOBAL HOLDINGS, PTE LTD Method and apparatus for matching a variable load impedance with an RF power generator impedance
6459066, Aug 25 2000 Board of Regents, The University of Texas System Transmission line based inductively coupled plasma source with stable impedance
DE3942560,
H268,
H554,
JP2260399,
JP5166595,
JP611024,
RU2022917,
RU2056702,
RU2094961,
SU957744,
WO9010945,
/////////////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Jan 26 2001Applied Science & Technology, Inc.(assignment on the face of the patent)
Apr 03 2001HOLBER, WILLIAM M MKS ASTEX PRODUCTS GROUPASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0118330772 pdf
Apr 03 2001SMITH, DONALD K MKS ASTEX PRODUCTS GROUPASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0118330772 pdf
Apr 03 2001CHEN, XING MKS ASTEX PRODUCTS GROUPASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0118330772 pdf
Sep 28 2001HOLBER, WILLIAM M APPLIED SCIENCE & TECHNOLOGY, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0122780079 pdf
Sep 28 2001CHEN, XING APPLIED SCIENCE & TECHNOLOGY, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0122780079 pdf
Oct 04 2001SMITH, DONALD K APPLIED SCIENCE & TECHNOLOGY, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0122780079 pdf
Jun 08 2005APPLIED SCIENCE AND TECHNOLOGY, INC MKS Instruments, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0167000252 pdf
Apr 29 2016Newport CorporationDEUTSCHE BANK AG NEW YORK BRANCHSECURITY AGREEMENT0386630265 pdf
Apr 29 2016MKS Instruments, IncDEUTSCHE BANK AG NEW YORK BRANCHSECURITY AGREEMENT0386630265 pdf
Apr 29 2016Newport CorporationBARCLAYS BANK PLCSECURITY AGREEMENT0386630139 pdf
Apr 29 2016MKS Instruments, IncBARCLAYS BANK PLCSECURITY AGREEMENT0386630139 pdf
Feb 01 2019DEUTSCHE BANK AG NEW YORK BRANCHNewport CorporationRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0482260095 pdf
Feb 01 2019DEUTSCHE BANK AG NEW YORK BRANCHMKS Instruments, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0482260095 pdf
Aug 17 2022BARCLAYS BANK PLCMKS Instruments, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0627390001 pdf
Aug 17 2022BARCLAYS BANK PLCElectro Scientific Industries, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0627390001 pdf
Aug 17 2022BARCLAYS BANK PLCNewport CorporationRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0627390001 pdf
Date Maintenance Fee Events
Jan 20 2009M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Feb 04 2013M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Feb 02 2017M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Aug 02 20084 years fee payment window open
Feb 02 20096 months grace period start (w surcharge)
Aug 02 2009patent expiry (for year 4)
Aug 02 20112 years to revive unintentionally abandoned end. (for year 4)
Aug 02 20128 years fee payment window open
Feb 02 20136 months grace period start (w surcharge)
Aug 02 2013patent expiry (for year 8)
Aug 02 20152 years to revive unintentionally abandoned end. (for year 8)
Aug 02 201612 years fee payment window open
Feb 02 20176 months grace period start (w surcharge)
Aug 02 2017patent expiry (for year 12)
Aug 02 20192 years to revive unintentionally abandoned end. (for year 12)