The present invention is directed to a chucking system to modulate substrates so as to properly shape and position the same with respect to a wafer upon which a pattern is to be formed with the substrate.

Patent
   6982783
Priority
Nov 13 2002
Filed
Jun 09 2004
Issued
Jan 03 2006
Expiry
Nov 13 2022
Assg.orig
Entity
Small
21
94
all paid
1. A chucking system to hold a substrate, said chucking system comprising:
a chuck body having a side with a pair of spaced-apart support regions extending therefrom terminating in a plane, said pair of spaced-apart support regions having a recess defined therebetween, with a portion of said chuck body in superimposition with said recess being transparent to radiation having a predetermined wavelength, with said chuck body being defined between said side and said plane.
17. A chucking system to hold a substrate, said chucking system comprising:
a chuck body having first and second opposed sides, with said body including sub-portions extending from said second side terminating in a plane and defining a recess therebetween, with remaining portions of said body being disposed between said plane and said first side, with a portion of said body in superimposition with said recess being transparent to radiation having a predetermined wavelength.
11. A chucking system to hold a substrate, said chucking system comprising:
a chuck body having a side with a pair of spaced-apart support regions extending therefrom terminating in a plane, said pair of spaced-apart support regions having a recess defined therebetween, with a portion of said chuck body in superimposition with said recess being transparent to ultra-violet radiation, with said pair of spaced-apart support regions having a support surface associated therewith, facing away from said side, with said support surface being formed from a material compliant in a first direction extending between said side and said plane to conform to a profile of said substrate while being resistant to movement in a direction transverse to said first direction, with said chuck body being defined between said side and said plane.
2. The chucking system as recited in claim 1 wherein said radiation includes radiation having ultra-violet wavelengths.
3. The chucking system as recited in claim 1 wherein said chuck body further includes an additional support region spaced-apart from one of said pair of spaced-apart support regions, with an additional recess disposed therebetween.
4. The chucking system as recited in claim 3 wherein said additional recess includes a plurality of spaced-apart pins extending therefrom.
5. The chucking system as recited in claim 1 wherein said pair of spaced-apart support regions has a support surface associated therewith, facing away from said side, with said support surface being formed from a material adapted to conform to a profile of said substrate.
6. The chucking system as recited in claim 3 wherein each of said plurality of support regions has a support surface associated therewith, facing away from said side, with said support surface being formed from a material compliant in a first direction extending between said side and said plane to conform to a profile of said substrate while being resistant to movement in a direction transverse to said first direction.
7. The chucking system as recited in claim 3 further including a wall disposed within said additional recess, extending between one of said spaced-apart support regions and said additional support region to segment said additional recess into a plurality of sub-chambers.
8. The chucking system as recited in claim 3 wherein said support regions have a shape that is selected from a set of shapes consisting of annular, polygonal and circular.
9. The chucking system as recited in claim 3 wherein said chuck body further includes an exterior surface and a throughway extending through said chuck body placing said recesses in fluid communication with said exterior surface.
10. The chucking system as recited in claim 9 further including a pressure control system in fluid communication with said throughway, with said substrate resting against said support region, covering said recess, defining a chamber, with said pressure control system operating to control a pressure in said chamber.
12. The chucking system as recited in claim 11 wherein said chuck body further includes an additional support region spaced-apart from one of said pair of spaced-apart support regions, with an additional recess disposed therebetween.
13. The chucking system as recited in claim 12 wherein said additional recess includes a plurality of spaced-apart pins extending therefrom.
14. The chucking system as recited in claim 13 further including a wall disposed within said additional recess, extending between one of said support regions and said additional support region to segment said additional recess into a plurality of sub-chambers.
15. The chucking system as recited in claim 14 wherein said support regions have a shape that is selected from a set of shapes consisting of annular, polygonal and circular.
16. The chucking system as recited in claim 12 wherein said chuck body further includes an exterior surface and a throughway extending through said chuck body placing said recesses in fluid communication with said exterior surface and a pressure control system in fluid communication with said throughway, with said substrate resting against said support region, covering said recess, defining a chamber, with said pressure control system operating to control a pressure in said chamber.
18. The chucking system as recited in claim 17 wherein said first side further includes an additional sub-portion spaced-apart from one of said sub-portions, with an additional recess disposed therebetween.
19. The chucking system as recited in claim 18 wherein said additional recess includes a plurality of spaced-apart pins extending therefrom.
20. The chucking system as recited in claim 19 further including a wall disposed within said additional recess, extending between one of said sub-portions and said additional sub-portion to segment said additional recess into a plurality of sub-chambers.

The present application is a continuation application of U.S. patent application Ser. No. 10/293,224, filed Nov. 13, 2002, having Byung-Jin Choi, Ronald D. Voisin, Sidlgata V. Sreenivasan, Michael P. C. Watts, Daniel A. Babbs, Mario J. Meissl, Hillman L. Bailey, and Norman E. Schumaker listed as inventors, which is incorporated herein by reference in its entirety.

The field of invention relates generally to lithography systems. More particularly, the present invention is directed to reducing undesirable pattern variations during imprint lithography processes.

Micro-fabrication involves the fabrication of very small structures, e.g., having features on the order of micro-meters or smaller. One area in which micro-fabrication has had a sizeable impact is in the processing of integrated circuits. As the semiconductor processing industry continues to strive for larger production yields while increasing the circuits per unit area formed on a substrate, micro-fabrication becomes increasingly important. Micro-fabrication provides greater process control while allowing increased reduction of the minimum feature dimension of the structures formed. Other areas of development in which micro-fabrication have been employed include biotechnology, optical technology, mechanical systems and the like.

An exemplary micro-fabrication technique is shown in U.S. Pat. No. 6,334,960 to Willson et al. Willson et al. disclose a method of forming a relief image in a structure. The method includes providing a substrate having a transfer layer. The transfer layer is covered with a polymerizable fluid composition. A mold makes mechanical contact with the polymerizable fluid. The mold includes a relief structure, and the polymerizable fluid composition fills the relief structure. The polymerizable fluid composition is then subjected to conditions to solidify and to polymerize the same, forming a solidified polymeric material on the transfer layer that contains a relief structure complimentary to that of the mold. The mold is then separated from the solid polymeric material such that a replica of the relief structure in the mold is formed in the solidified polymeric material. The transfer layer and the solidified polymeric material are subjected to an environment to selectively etch the transfer layer relative to the solidified polymeric material such that a relief image is formed in the transfer layer. The time required and the minimum feature dimension provided by this technique is dependent upon, inter alia, the composition of the polymerizable material.

U.S. Pat. No. 5,772,905 to Chou discloses a lithographic method and apparatus for creating ultra-fine (sub-36 nm) patterns in a thin film coated on a substrate in which a mold having at least one protruding feature is pressed into a thin film carried on a substrate. The protruding feature in the mold creates a recess in the thin film. The mold is removed from the film. The thin film then is processed such that the thin film in the recess is removed exposing the underlying substrate. Thus, patterns in the mold are replaced in the thin film, completing the lithography. The patterns in the thin film will be, in subsequent processes, reproduced in the substrate or in another material which is added onto the substrate.

Yet another imprint lithography technique is disclosed by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835–837, June 2002, which is referred to as a laser assisted direct imprinting (LADI) process. In this process a region of a substrate is made flowable, e.g., liquefied, by heating the region with the laser. After the region has reached a desired viscosity, a mold, having a pattern thereon, is placed in contact with the region. The flowable region conforms to the profile of the pattern and is then cooled, solidifying the pattern into the substrate. An important consideration when forming patterns in this manner is to maintain control of the mold. In this fashion, undesirable variations in the pattern resulting from, inter alia, undesired deformation of the mold may be avoided. For example, in-plane distortion can cause line width variations, as well as pattern placement errors. Out-of-plane distortion can cause loss of focus in optical lithography, resulting in thickness variations of the underlying residual layers. This may make difficult both line width control and etch transfer.

It is desired, therefore, to provide improved techniques for holding the mold so as to properly position the same with respect to the substrate upon which a pattern is to be formed.

The present invention is directed to a chucking system to modulate substrates so as to properly shape a mold and position the same with respect to a wafer upon which a pattern is formed using the mold. The chucking system includes a chuck body having first and second opposed sides with a side surface extending therebetween. The first side includes first and second spaced-apart recesses defining first and second spaced-apart support regions. The first support region cinctures the second support region and the first and second recesses. The second support region cinctures the second recess with a portion of the body in superimposition with the second recess being transparent to radiation having a predetermined wavelength. The portion extends from the second side and terminates proximate to the second recess. The second side and the side surface define exterior surfaces. The body includes first and second throughways extending through the body, placing the first and second recesses, respectively, in fluid communication with one of the exterior surfaces.

In another embodiment, a pressure control system is included. The first throughway places the first recess in fluid communication with the pressure control system and the second throughway places the pressure control system in fluid communication with the second recess. When mounted to the chuck body, the substrate rests against the first and second support regions, covering the first and second recesses. The first recess and the portion of the substrate in superimposition therewith define a first chamber and the second recess and the portion of the substrate in superimposition therewith defines a second chamber. The pressure control system operates to control a pressure in the first and second chambers. Specifically, the pressure is established in the first chamber to maintain the position of the substrate with the chuck body. The pressure in the second chamber may differ from the pressure in the first chamber to, inter alia, reduce distortions in the substrate that occur during imprinting. These and other embodiments of the present invention are discussed more fully below.

FIG. 1 is a perspective view of a lithographic system in accordance with the present invention;

FIG. 2 is a simplified elevation view of a lithographic system shown in FIG. 1;

FIG. 3 is a simplified representation of material from which an imprinting layer, shown in FIG. 2, is comprised before being polymerized and cross-linked;

FIG. 4 is a simplified representation of cross-linked polymer material into which the material shown in FIG. 3 is transformed after being subjected to radiation;

FIG. 5 is a simplified elevation view of a mold spaced-apart from the imprinting layer, shown in FIG. 1, after patterning of the imprinting layer;

FIG. 6 is a simplified elevation view of an additional imprinting layer positioned atop of the substrate, shown in FIG. 5, after the pattern in the first imprinting layer is transferred therein;

FIG. 7 is a detailed perspective view of a print head shown in FIG. 1;

FIG. 8 is a cross-sectional view of a chucking system in accordance with the present invention;

FIG. 9 is an exploded view of an imprint head shown in FIG. 7;

FIG. 10 is a bottom-up plan view of a chuck body shown in FIG. 8;

FIG. 11 is a top down view of a wafer, shown in FIGS. 2, 5 and 6 upon which imprinting layers are disposed;

FIG. 12 is a detailed view of FIG. 11 showing the position of the mold in one of the imprint regions;

FIG. 13 is a bottom-up plan view of the chuck body shown in FIG. 8 in accordance with an alternate embodiment;

FIG. 14 is a cross-sectional view of a chuck body shown in FIG. 8 in accordance with a second alternate embodiment;

FIG. 15 is a flow diagram showing a method of reducing distortions in patterns formed using imprint lithography techniques in accordance with the present invention; and

FIG. 16 is a flow diagram showing a method of reducing distortions in patterns formed using imprint lithography techniques in accordance with an alternate embodiment of the present invention.

FIG. 1 depicts a lithographic system 10 in accordance with one embodiment of the present invention that includes a pair of spaced-apart bridge supports 12 having a bridge 14 and a stage support 16 extending therebetween. Bridge 14 and stage support 16 are spaced-apart. Coupled to bridge 14 is an imprint head 18, which extends from bridge 14 toward stage support 16. Disposed upon stage support 16 to face imprint head 18 is a motion stage 20. Motion stage 20 is configured to move with respect to stage support 16 along X- and Y-axes. A radiation source 22 is coupled to system 10 to impinge actinic radiation upon motion stage 20. As shown, radiation source 22 is coupled to bridge 14 and includes a power generator 23 connected to radiation source 22.

Referring to both FIGS. 1 and 2, connected to imprint head 18 is a substrate 26 having a mold 28 thereon. Mold 28 includes a plurality of features defined by a plurality of spaced-apart recessions 28a and protrusions 28b. The plurality of features defines an original pattern that is to be transferred into a wafer 30 positioned on motion stage 20. To that end, imprint head 18 is adapted to move along the Z-axis and to vary a distance “d” between mold 28 and wafer 30. In this manner, the features on mold 28 may be imprinted into a flowable region of wafer 30, discussed more fully below. Radiation source 22 is located so that mold 28 is positioned between radiation source 22 and wafer 30. As a result, mold 28 is fabricated from material that allows it to be substantially transparent to the radiation produced by radiation source 22.

Referring to both FIGS. 2 and 3, a flowable region, such as an imprinting layer 34, is disposed on a portion of surface 32 that presents a substantially planar profile. Flowable region may be formed using any known technique such as a hot embossing process disclosed in U.S. Pat. No. 5,772,905, which is incorporated by reference in its entirety herein, or a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835–837, June 2002. In the present embodiment, however, the flowable region consists of imprinting layer 34 being deposited as a plurality of spaced-apart discrete beads 36 of material 36a on wafer 30, discussed more fully below. imprinting layer 34 is formed from a material 36a that may be selectively polymerized and cross-linked to record the original pattern therein, defining a recorded pattern. Material 36a is shown in FIG. 4 as being cross-linked at points 36b, forming cross-linked polymer material 36c.

Referring to FIGS. 2, 3 and 5, the pattern recorded in imprinting layer 34 is produced, in part, by mechanical contact with mold 28. To that end, imprint head 18 reduces the distance “d” to allow imprinting layer 34 to come into mechanical contact with mold 28, spreading beads 36 so as to form imprinting layer 34 with a contiguous formation of material 36a over surface 32. In one embodiment, distance “d” is reduced to allow sub-portions 34a of imprinting layer 34 to ingress into and to fill recessions 28a.

To facilitate filling of recessions 28a, material 36a is provided with the requisite properties to completely fill recessions 28a while covering surface 32 with a contiguous formation of material 36a. In the present embodiment, sub-portions 34b of imprinting layer 34 in superimposition with protrusions 28b remain after the desired, usually minimum distance “d”, has been reached, leaving sub-portions 34a with a thickness t1, and sub-portions 34b with a thickness, t2. Thicknesses “t1” and “t2” may be any thickness desired, dependent upon the application. Typically, t1 is selected so as to be no greater than twice the width u of sub-portions 34a, i.e., t1≦2u, shown more clearly in FIG. 5.

Referring to FIGS. 2, 3 and 4, after a desired distance “d” has been reached, radiation source 22 produces actinic radiation that polymerizes and cross-links material 36a, forming cross-linked polymer material 36c. As a result, the composition of imprinting layer 34 transforms from material 36a to material 36c, which is a solid. Specifically, material 36c is solidified to provide side 34c of imprinting layer 34 with a shape conforming to a shape of a surface 28c of mold 28, shown more clearly in FIG. 5. After imprinting layer 34 is transformed to consist of material 36c, shown in FIG. 4, imprint head 18, shown in FIG. 2, is moved to increase distance “d” so that mold 28 and imprinting layer 34 are spaced-apart.

Referring to FIG. 5, additional processing may be employed to complete the patterning of wafer 30. For example, wafer 30 and imprinting layer 34 may be etched to transfer the pattern of imprinting layer 34 into wafer 30, providing a patterned surface 32a, shown in FIG. 6. To facilitate etching, the material from which imprinting layer 34 is formed may be varied to define a relative etch rate with respect to wafer 30, as desired. The relative etch rate of imprinting layer 34 to wafer 30 may be in a range of about 1.5:1 to about 100:1. Alternatively, or in addition to, imprinting layer 34 may be provided with an etch differential with respect to photo-resist material (not shown) selectively disposed thereon. The photo-resist material (not shown) may be provided to further pattern imprinting layer 34, using known techniques. Any etch process may be employed, dependent upon the etch rate desired and the underlying constituents that form wafer 30 and imprinting layer 34. Exemplary etch processes may include plasma etching, reactive ion etching, chemical wet etching and the like.

Referring to both FIGS. 1 and 2, an exemplary radiation source 22 may produce ultraviolet radiation. Other radiation sources may be employed, such as thermal, electromagnetic and the like. The selection of radiation employed to initiate the polymerization of the material in imprinting layer 34 is known to one skilled in the art and typically depends on the specific application which is desired. Furthermore, the plurality of features on mold 28 are shown as recessions 28a extending along a direction parallel to protrusions 28b that provide a cross section of mold 28 with a shape of a battlement. However, recessions 28a and protrusions 28b may correspond to virtually any feature required to create an integrated circuit and may be as small as a few tenths of nanometers. As a result, it may be desired to manufacture components of system 10 from materials that are thermally stable, e.g., have a thermal expansion coefficient of less than about 10 ppm/degree centigrade at about room temperature (e.g. 25 degrees Centigrade). In some embodiments, the material of construction may have a thermal expansion coefficient of less than about 10 ppm/degree Centigrade, or less than 1 ppm/degree Centigrade. To that end, bridge supports 12, bridge 14, and/or stage support 16 may be fabricated from one or more of the following materials: silicon carbide, iron alloys available under the trade name INVAR®, or name SUPER INVAR™, ceramics, including, but not limited to, ZERODUR® ceramic. Additionally table 24 may be constructed to isolate the remaining components of system 10 from vibrations in the surrounding environment. An exemplary table 24 is available from Newport Corporation of Irvine, Calif.

Referring to FIGS. 7 and 8, substrate 26, upon which mold 28 is present, is coupled to imprint head housing 18a via a chucking system 40 that includes chuck body 42. Specifically, a calibration system 18b is coupled to imprint head housing 18a, and chuck body 42 couples substrate 26 to calibration system 18b vis-à-vis a flexure system 18c. Calibration system 18b facilitates proper orientation alignment between substrate 26 and wafer 30, shown in FIG. 5, thereby achieving a substantially uniform gap distance, “d”, therebetween.

Referring to both FIGS. 7 and 9, calibration system 18b includes a plurality of actuators 19a, 19b and 19c and a base plate 19d. Specifically, actuators 19a, 19b and 19c are connected between housing 18a and base plate 19d. Flexure system 18c includes flexure springs 21a and flexure ring 21b. Flexure ring 21b is coupled between base plate 19d and flexure springs 21a. Motion of actuators 19a, 19b and 19c orientates flexure ring 21b to allow for a coarse calibration of flexure springs 21a and, therefore, chuck body 42 and substrate 26. Actuators 19a, 19b and 19c also facilitate translation of flexure ring 21b to the Z-axis. Flexure springs 21a include a plurality of linear springs that facilitate gimbal-like motion in the X-Y plane so that proper orientation alignment may be achieved between wafer 30 and substrate 26, shown in FIG. 2.

Referring to FIGS. 8 and 10, chuck body 42 is adapted to retain substrate 26 upon which mold 28 is attached employing vacuum techniques. To that end, chuck body 42 includes first 46 and second 48 opposed sides. A side, or edge, surface 50 extends between first side 46 and second side 48. First side 46 includes a first recess 52 and a second recess 54, spaced-apart from first recess 52, defining first 58 and second 60 spaced-apart support regions. First support region 58 cinctures second support region 60 and the first 52 and second 54 recesses. Second support region 60 cinctures second recess 54. A portion 62 of chuck body 42 in superimposition with second recess 54 is transparent to radiation having a predetermined wavelength, such as the wavelength of the actinic radiation mentioned above. To that end, portion 62 is made from a thin layer of transparent material, such as glass. However, the material from which portion 62 is made may depend upon the wavelength of radiation produced by radiation source 22, shown in FIG. 2. Portion 62 extends from second side 48 and terminates proximate to second recess 54 and should define an area at least as large as an area of mold 28 so that mold 28 is in superimposition therewith. Formed in chuck body 42 are one or more throughways, shown as 64 and 66. One of the throughways, such as throughway 64, places first recess 52 in fluid communication with side surface 50. The remaining throughway, such as throughway 66, places second recess 54 in fluid communication with side surface 50.

It should be understood that throughway 64 may extend between second side 48 and first recess 52 also. Similarly, throughway 66 may extend between second side 48 and second recess 54. What is desired is that throughways 64 and 66 facilitate placing recesses 52 and 54, respectively, in fluid communication with a pressure control system, such a pump system 70.

Pump system 70 may include one or more pumps to control the pressure proximate to recesses 52 and 54, independently of one another. Specifically, when mounted to chuck body 42, substrate 26 rests against first 58 and second 60 support regions, covering first 52 and second 54 recesses. First recess 52 and a portion 44a of substrate 26 in superimposition therewith define a first chamber 52a. Second recess 54 and a portion 44b of substrate 26 in superimposition therewith define a second chamber 54a. Pump system 70 operates to control a pressure in first 52a and second 54a chambers. Specifically, the pressure is established in first chamber 52a to maintain the position of the substrate 26 with the chuck body 42 and reduce, if not avoid, separation of substrate 26 from chuck body 42 under force of gravity. The pressure in the second chamber 54a may differ from the pressure in the first chamber 52a to, inter alia, reduce distortions in the substrate 26 that occur during imprinting, by modulating a shape of substrate 26. For example, pump system 70 may apply a positive pressure in chamber 54a to compensate for any upward force R that occurs as a result on imprinting layer 34 contacting mold 28. In this manner, produced is a pressure differential between differing regions of side 46 so that bowing of substrate 26 and, therefore, mold 28 under force R is attenuated, if not avoided.

Coupled to substrate 26 is a means to compress the same in X- and Y-directions, with the understanding that the Y-direction is into the plane of FIG. 8. In the present example the means to compress includes a fluid-tight bladder 72 surrounding substrate 26. However, any device may be employed to that end, for example, a vice. Bladder 72 is in fluid communication with pump system 70 to control the fluid pressure in bladder 72. In this manner, bladder 72 may be used to apply a force to substrate 26 to vary the dimensions of the same and to reduce distortions in the pattern recorded into imprinting layer 34, shown in FIG. 2.

Specifically, distortions in the pattern recorded into imprinting layer 34 may arise from, inter alia, dimensional variations of imprinting layer 34 and wafer 30. These dimensional variations, which may be due in part to thermal fluctuations, as well as, inaccuracies in previous processing steps that produce what is commonly referred to as magnification/run-out errors. The magnification/run-out errors occur when a region of wafer 30 in which the original pattern is to be recorded exceeds the area of the original pattern. Additionally, magnification/run-out errors may occur when the region of wafer 30, in which the original pattern is to be recorded, has an area smaller than the original pattern. The deleterious effects of magnification/run-out errors are exacerbated when forming multiple layers of imprinted patterns, shown as imprinting layer 124 in superimposition with patterned surface 32a, shown in FIG. 6. Proper alignment between two superimposed patterns is difficult in the face of magnification/run-out errors in both single-step full wafer imprinting and step-and-repeat imprinting processes.

Referring to FIGS. 11 and 12, a step-and-repeat process includes defining a plurality of regions, shown as a-l, on wafer 30 in which the original pattern on mold 28 will be recorded. The original pattern on mold 28 may be coextensive with the entire surface of mold 28, or simply located to a sub-portion thereof. The present invention will be discussed with respect to the original pattern being coextensive with the surface of mold 28 that faces wafer 30. Proper execution of a step-and-repeat process may include proper alignment of mold 28 with each of regions a-l. To that end, mold 28 includes alignment marks 114a, shown as a “+” sign. One or more of regions a-l includes fiducial marks 110a. By ensuring that alignment marks 114a are properly aligned with fiducial marks 110a, proper alignment of mold 28 with one of regions a-l in superimposition therewith is ensured. To that end, machine vision devices (not shown) may be employed to sense the relative alignment between alignment marks 114a and fiducial marks 110a. In the present example, proper alignment is indicated upon alignment marks 114a being in superimposition with fiducial marks 110a. With the introduction of magnification/run-out errors, proper alignment becomes very difficult.

However, in accordance with one embodiment of the present invention, magnification/run-out errors are reduced, if not avoided, by creating relative dimensional variations between mold 28 and wafer 30. Specifically, the temperature of wafer 30 is varied so that one of regions a-l defines an area that is slightly less than an area of the original pattern on mold 28. Thereafter, the final compensation for magnification/run-out errors is achieved by subjecting substrate 26, shown in FIG. 8, to mechanical compression forces using bladder 72, which are in turn transferred to mold 28 shown by arrows F1 and F2, orientated transversely to one another, shown in FIG. 12. In this manner, the area of the original pattern is made coextensive with the area of the region a-l in superimposition therewith.

Referring to both FIGS. 5 and 8, subjecting substrate 26 to compressive forces, however, modulates the shape of the same through bending action. Bending of substrate 26 may also introduce distortions in the pattern imprinted into imprinting layer 34. The pattern distortions attributable to bending of substrate 26 may be reduced, if not prevented, by positioning bladder 72 so that the bending of substrate 26 is controlled to occur in a desired direction. In the present example, bladder 72 is positioned to compress substrate 26 so as to bow in a direction parallel to, and opposite of, force R. By controlling the bending of substrate 26 in this manner, chucking system 40 may be employed to counter the bending force, B, so as to establish mold 28 to be a desired shape, e.g., arcuate, planar and the like. Pump system 70 may be employed to pressurize chamber 54a appropriately to that end. For example, assuming bending force, B, is greater than force R, pump system 70 would be employed to evacuate chamber 54a with sufficient vacuum to counter the bending force B. Were bending force B weaker than force R, pump system 70 would be employed to pressurize chamber 54a appropriately to maintain planarity of mold 28, or any other desired shape. The exact pressure levels may be determined with a priori knowledge of the forces R and B which then may be analyzed by a processor (not shown) that may be included in pump system 70 to pressurize chambers 52a and 54a to the appropriate levels. Also, the forces R and B may be sensed dynamically using known techniques so that the pressure within chambers 52a and 54a may be established dynamically during operation to maintain substrate 26 with a desired shape. An added benefit is that the pressure in one or both chambers 52a and 54a may be established to be a positive pressure, thereby facilitating removal of substrate 26 from chuck body 42. This also may be accomplished under processor control, or manually.

Referring again to FIG. 8, when compressing substrate 26 with bladder 72, relative movement between substrate 26 and support regions 58 and 60 occurs along the X and Y axes. As a result, it is desired that support regions 58 and 60 have surface regions 58a and 60a, respectively, formed thereon from a material adapted to conform to a profile of substrate 26 and resistant to deformation along the x and Y axes. In this manner, surface regions 58a and 60a resist relative movement of substrate 26 with respect to chuck body 42 in the X and Y directions.

Referring to FIGS. 8 and 13, in another embodiment, chuck body 142 may include one or more walls, or baffles, shown as 142a, 142b, 142c and 142d extending between first and second support regions 158 and 160. In this fashion, walls/baffles 142a, 142b, 142c and 142d segment recess 152 into a plurality of sub-regions 152a, 152b, 152c and 152d that function as sub-chambers once substrate 26 is placed in superimposition therewith. Sub-chambers 152a, 152b, 152c and 152d may be fluid-tight which would result in each having a throughway (not shown), placing the same in fluid communication with pump system 70. Alternatively, or in conjunction therewith sub-chambers 152a, 152b, 152c and 152d may not form fluid-tight chambers once substrate 26 is placed in superimposition therewith. Rather walls 142a, 142b, 142c and 142d would be spaced apart from substrate 26 to function as a baffle for fluid transfer across the same. As a result, with the appropriate pressure level being provided by pump system 70 to recess 152, a pressure differential could be provided between sub-chambers 152a, 152b, 152c and 152d, as desired.

Referring to both FIGS. 2 and 13, providing walls/baffles 142a, 142b, 142c and 142d with this configuration, sub-regions 152a, 152b, 152c and 152d may be concurrently provided with differing pressure levels. As a result, the amount of force exerted on substrate 26 when being pulled-apart from imprinting layer 34 may vary across the surface of substrate 26. This allows cantilevering, or peeling-off of substrate 26 from imprinting layer 34 that reduces distortions or defects from being formed in imprinting layer 34 during separation of substrate 26 therefrom. For example, sub-chamber 152b may have a pressure established therein that is greater than the pressure associated with the remaining sub-chambers 152a, 152c and 152d. As a result, when increasing distance “d”, the pulling force of the portion of substrate 26 in superimposition with sub-chambers 152a, 152c and 152d is subjected to is greater than the pulling force to which the portion of substrate 26 in superimposition with sub-chamber 152b is subjected. Thus, the rate that “d” increases for the portion of substrate 26 in superimposition with sub-chambers 152a, 152c and 152d is accelerated compared to the rate at which “d” increases for the portion of substrate 26 in superimposition with sub-chamber 152b, providing the aforementioned cantilevering effect.

In yet another embodiment, shown in FIG. 14, chuck body 242 includes a plurality of pins 242a projecting from a nadir surface 252a of out recess 252. Pins 242a provide mechanical support for the substrate (not shown) retained on chuck body 242 via vacuum. This enables support regions 258 and 260 to have surface regions 258a and 260a, respectively, formed from material that is fully compliant with the surface (not shown) of the substrate (not shown) resting against support regions 258 and 260. In this manner, surface regions 258a and 260a provide a fluid-tight seal with the substrate (not shown) in the presence of extreme surface variation, e.g., when particulate matter is present between the surface (not shown) of the substrate (not shown) and the surface regions 258a and 260a. Mechanical support of the substrate (not shown) in the Z-direction need not be provided by surface regions 258a and 260a. Pins 242a provide this support. To that end, pins 242a are typically rigid posts having a circular cross-section.

Referring to FIGS. 11, 12 and 15, in operation, an accurate measurement of wafer 30 in an X-Y plane is undertaken at step 200. This may be achieved by sensing gross alignment fiducials 110b present on wafer 30 using machine vision devices (not shown) and known signal processing techniques. At step 202, the temperature of wafer 30 may be varied, i.e., raised or lowered, so that the area of one of regions a-l is slightly less than an area of the original pattern on mold 28. The temperature variations may be achieved using a temperature controlled chuck or pedestal (not shown) against which wafer 30 rests. The area of each of regions a-l can be determined by measurement of a change in distance between two collinear gross alignment fiducials 110b.

Specifically, a change in the distance between two gross alignment fiducials 110b collinear along one of the X- or Y-axes is determined. Thereafter, this change in distance is divided by a number of adjacent regions a-l on the wafer 30 along the X-axis. This provides the dimensional change of the areas of regions a-l attributable to dimensional changes in wafer 30 along the X-axis. If necessary, the same measurement may be made to determine the change in area of regions a-l due to dimensional changes of wafer 30 along the Y-axis. However, it may also be assumed that the dimensional changes in wafer 30 may be uniform in the two orthogonal axes, X and Y.

At step 204, compressive forces, F1 and F2, are applied to mold 28 to establish the area of the original pattern to be coextensive with the area of one of the regions a-l in superimposition with the pattern. This may be achieved in real-time employing machine vision devices (not shown) and known signal processing techniques to determine when two or more of alignment marks 114a are aligned with two or more of fiducial marks 110a. At step 206, after proper alignment is achieved and magnification/run-out errors are reduced, if not vitiated, the original pattern is recorded in the region a-l that is in superimposition with mold 28, forming the recorded pattern. It is not necessary that compression forces F1 and F2 have the same magnitude as the dimensional variations in either wafer 30 or mold 28 may not be uniform in all directions. Further, the magnification/run-out errors may not be identical in both X-Y directions. As a result, compression forces F1 and F2 may differ to compensate for these anomalies. Furthermore, to ensure greater reduction in magnification/run-out errors, the dimensional variation in mold 28 may be undertaken after mold 28 contacts imprinting layer 124, shown in FIG. 6. However, this is not necessary.

Referring again to FIGS. 6, 11 and 12, the alignment of mold 28 with regions a-l in superimposition therewith may occur with mold 28 being spaced-apart from imprinting layer 124. Were it found that the magnification/run-out errors were constant over the entire wafer 30, then the magnitude of forces F1 and F2 could be maintained for each region a-l in which the original pattern is recorded. However, were it determined that the magnification/run-out errors differed for one or more regions a-l, steps 202 and 204, shown in FIG. 15, would then be undertaken for each region a-l in which the original pattern is recorded. It should be noted that there are limits to the relative dimensional changes that may occur between wafer 30 and mold 28. For example, the area of the regions a-l should be of appropriate dimensions to enable pattern on mold 28 to define an area coextensive therewith when mold 28 is subject to compression forces F1 and F2, without compromising the structural integrity of mold 28.

Referring to FIGS. 5 and 16, in accordance with another embodiment of the present invention, accurate measurement of wafer 30 in an X-Y plane is undertaken at step 300. At step 302, the dimensions of one of regions a-l in superimposition with mold 28 is determined. At step 304 it is determined whether the area of one of regions a-l in superimposition with mold 28 is larger than the area of the pattern on mold 28. If this is the case, the process proceeds to step 306, otherwise the process proceeds to step 308. At step 308, mold 28 is placed in contact with the region a-l in superimposition therewith, and the requisite magnitude of compressive forces F1 and F2 is determined to apply to mold 28 to ensure that the area of the pattern is coextensive with the area of this region a-l. At step 310, compressive forces F1 and F2 are applied to mold 28. Thereafter, mold 28 is spaced-apart from the region a-l in superimposition with mold 28 and the process proceeds to step 312 where it is determined whether there remain any regions a-l on wafer 30 in which to record the original pattern. If there are, the process proceeds to step 314 wherein mold 28 is placed in superimposition with the next region and the process proceeds to step 304. Otherwise, the process ends at step 316.

Were it determined at step 304 that the region a-l in superimposition with mold 28 had an area greater than the area of the pattern, then the process proceeds to step 306 wherein the temperature of mold 28 is varied to cause expansion of the same. In the present embodiment, mold 28 is heated at step 306 so that the pattern is slightly larger than the area of region a-l in superimposition therewith. Then the process continues at step 310.

The embodiments of the present invention described above are exemplary. Many changes and modifications may be made to the disclosure recited above, while remaining within the scope of the invention. For example, by pressurizing all chambers formed by the chuck body-substrate combination with positive fluid pressure, the substrate may be quickly released from the chuck body. Further, many of the embodiments discussed above may be implemented in existing imprint lithography processes that do not employ formation of an imprinting layer by deposition of beads of polymerizable material. Exemplary processes in which differing embodiments of the present invention may be employed include a hot embossing process disclosed in U.S. Pat. No. 5,772,905, which is incorporated by reference in its entirety herein. Additionally, many of the embodiments of the present invention may be employed using a laser assisted direct imprinting (LADI) process of the type described by Chou et al. in Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835–837, June 2002. Therefore, the scope of the invention should be determined not with reference to the above description, but instead should be determined with reference to the appended claims along with their full scope of equivalents.

Sreenivasan, Sidlgata V., Choi, Byung J., Watts, Michael P. C., Voisin, Ronald D., Schumaker, Norman E., Meissl, Mario J., Babbs, Daniel, Bailey, Hillman

Patent Priority Assignee Title
10578984, Dec 20 2016 Canon Kabushiki Kaisha Adaptive chucking system
11161280, Oct 24 2008 CITIBANK, N A Strain and kinetics control during separation phase of imprint process
11669009, Aug 03 2016 Board of Regents, The University of Texas System Roll-to-roll programmable film imprint lithography
11762284, Aug 03 2016 Board of Regents, The University of Texas System Wafer-scale programmable films for semiconductor planarization and for imprint lithography
7270533, Oct 02 2003 CITIBANK, N A System for creating a turbulent flow of fluid between a mold and a substrate
7298456, Jun 03 2004 CITIBANK, N A System for varying dimensions of a substrate during nanoscale manufacturing
7635263, Jan 31 2005 CITIBANK, N A Chucking system comprising an array of fluid chambers
7636999, Jan 31 2005 CITIBANK, N A Method of retaining a substrate to a wafer chuck
7641840, Nov 13 2002 CITIBANK, N A Method for expelling gas positioned between a substrate and a mold
7670529, Dec 08 2005 CITIBANK, N A Method and system for double-sided patterning of substrates
7670530, Jan 20 2006 CITIBANK, N A Patterning substrates employing multiple chucks
7670534, Sep 21 2005 CITIBANK, N A Method to control an atmosphere between a body and a substrate
7691313, Nov 13 2002 CITIBANK, N A Method for expelling gas positioned between a substrate and a mold
7708926, Jul 11 2002 CITIBANK, N A Capillary imprinting technique
7727453, Jul 11 2002 CITIBANK, N A Step and repeat imprint lithography processes
8215946, May 18 2006 CITIBANK, N A Imprint lithography system and method
8556616, May 11 2006 CITIBANK, N A Template having a varying thickness to facilitate expelling a gas positioned between a substrate and the template
8632714, Mar 20 2003 Hitachi, Ltd. Mold structures, and method of transfer of fine structures
8652393, Oct 24 2008 CITIBANK, N A Strain and kinetics control during separation phase of imprint process
8715515, Mar 23 2009 Intevac, Inc Process for optimization of island to trench ratio in patterned media
RE47483, May 11 2006 CITIBANK, N A Template having a varying thickness to facilitate expelling a gas positioned between a substrate and the template
Patent Priority Assignee Title
3783520,
4256829, May 11 1977 U.S. Philips Corporation Method of manufacturing solid-state devices in which planar dimensional distortion is reduced
4512848, Feb 06 1984 Exxon Research and Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
4600309, Dec 30 1982 Thomson-CSF Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a divergent radiation source
4731155, Apr 15 1987 General Electric Company; GENERAL ELECTRIC COMPANY, A CORP OF NEW YORK Process for forming a lithographic mask
4848911, Jun 11 1986 Kabushiki Kaisha Toshiba; Tokyo Kogaku Kikai Kabushiki Kaisha Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
5028366, Jan 12 1988 Air Products and Chemicals Water based mold release compositions for making molded polyurethane foam
5074667, Aug 15 1988 SUMITOMO HEAVY INDUSTRIES CO , LTD , A CORP OF JAPAN Position detector employing a sector Fresnel zone plate
5148036, Jul 18 1989 Canon Kabushiki Kaisha Multi-axis wafer position detecting system using a mark having optical power
5148037, Sep 09 1988 Canon Kabushiki Kaisha Position detecting method and apparatus
5414514, Jun 01 1993 Massachusetts Institute of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
5425848, Mar 16 1993 U S PHILIPS CORPORATION Method of providing a patterned relief of cured photoresist on a flat substrate surface and device for carrying out such a method
5563684, Nov 30 1994 SGS-Thomson Microelectronics, Inc.; SGS-THOMSONMICROELECTRONIC, INC Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
5601641, Jul 21 1992 TSE INDUSTRIES, INC Mold release composition with polybutadiene and method of coating a mold core
5737064, Mar 15 1994 Matsushita Electric Industrial Co., Ltd. Exposure apparatus for transferring a mask pattern onto a substrate
5772905, Nov 15 1995 Regents of the University of Minnesota; MINNESOTA, REGENTS OF THE UNIVERSITY OF Nanoimprint lithography
5802914, May 30 1996 Eastman Kodak Company Alignment mechanism using flexures
5808742, May 31 1995 Massachusetts Institute of Technology Optical alignment apparatus having multiple parallel alignment marks
5849209, Mar 31 1995 JOHNSON & JOHNSON VISION PRODUCTS, INC, Mold material made with additives
5849222, Sep 29 1995 JOHNSON & JOHNSON VISION PRODUCTS, INC Method for reducing lens hole defects in production of contact lens blanks
5999245, Mar 27 1997 Ushiodenki Kabushiki Kaisha Proximity exposure device with distance adjustment device
6019166, Dec 30 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Pickup chuck with an integral heatsink
6049373, Feb 28 1997 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
6088103, May 19 1995 Massachusetts Institute of Technology Optical interference alignment and gapping apparatus
6137562, Dec 05 1996 Nikon Corporation Substrate adjuster, substrate holder and substrate holding method
6150231, Jun 15 1998 Infineon Technologies AG Overlay measurement technique using moire patterns
6285439, Feb 28 1997 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
6295120, Feb 28 1997 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
6309580, Nov 15 1995 MINNESOTA, REGENTS OF THE UNIVERSITY OF Release surfaces, particularly for use in nanoimprint lithography
6334960, Mar 11 1999 Board of Regents, The University of Texas System Step and flash imprint lithography
6383888, Apr 18 2001 GLOBALFOUNDRIES U S INC Method and apparatus for selecting wafer alignment marks based on film thickness variation
6388755, Dec 03 1998 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
6391217, Dec 23 1999 University of Massachusetts; Universitat Konstanz Methods and apparatus for forming submicron patterns on films
6482742, Jul 18 2000 Nanonex Corporation Fluid pressure imprint lithography
6489068, Feb 21 2001 GLOBALFOUNDRIES Inc Process for observing overlay errors on lithographic masks
6517995, Sep 14 1999 Massachusetts Institute of Technology Fabrication of finely featured devices by liquid embossing
6518189, Nov 15 1995 Regents of the University of Minnesota Method and apparatus for high density nanostructures
6522411, May 25 1999 Massachusetts Institute of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
6580172, Mar 02 2001 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Lithographic template and method of formation and use
6630410, Aug 31 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Self-aligned PECVD etch mask
6636311, Dec 01 1998 Canon Kabushiki Kaisha Alignment method and exposure apparatus using the same
6646662, May 26 1998 Seiko Epson Corporation Patterning method, patterning apparatus, patterning template, and method for manufacturing the patterning template
6696220, Oct 02 2000 Board of Regents, The University of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
6713238, Oct 09 1998 The Trustees of The University of Princeton Microscale patterning and articles formed thereby
6770852, Feb 27 2003 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
6776094, Oct 04 1993 President & Fellows of Harvard College Kit For Microcontact Printing
6791669, Apr 12 2001 Nikon Corporation Positioning device and exposure apparatus including the same
6809356, Nov 15 1995 Regents of the University of Minnesota Method and apparatus for high density nanostructures
6828244, Nov 15 1995 Regents of the University of Minnesota Method and apparatus for high density nanostructures
6871558, Dec 12 2002 CITIBANK, N A Method for determining characteristics of substrate employing fluid geometries
20020018190,
20020042027,
20020132482,
20020150398,
20020167117,
20020177319,
20030034329,
20030080471,
20030080472,
20030092261,
20030179354,
20040007799,
20040008334,
20040009673,
20040021254,
20040021866,
20040022888,
20040033515,
20040036201,
20040046288,
20040053146,
20040086793,
20040090611,
20040110856,
20040112861,
20040118809,
20040124566,
20040131718,
20040137734,
20040146792,
20040156108,
20040192041,
20040197843,
20050006343,
JP224848,
JP292603,
WO21689,
WO147003,
WO207199,
WO3010289,
WO3079416,
WO3099536,
WO2004114016,
WO9905724,
///////////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Jun 09 2004Molecular Imprints, Inc.(assignment on the face of the patent)
Sep 28 2004Molecular Imprints, IncVENTURE LENDING & LEASING IV, INC SECURITY INTEREST SEE DOCUMENT FOR DETAILS 0161330369 pdf
Mar 26 2007VENTURE LENDING & LEASING IV, INC Molecular Imprints, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0190720882 pdf
Sep 01 2011Molecular Imprints, IncCANON INC CORRECTIVE ASSIGNMENT TO CORRECT THE NATURE OF CONVEYANCE FROM AN ASSIGNMENT TO SECURITY AGREEMENT PREVIOUSLY RECORDED ON REEL 026842 FRAME 0929 ASSIGNOR S HEREBY CONFIRMS THE THE ORIGINAL DOCUMENT SUBMITTED WAS A SECURITY AGREEMENT 0310030031 pdf
Sep 01 2011Molecular Imprints, IncCANON INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0268420929 pdf
Apr 17 2014Molecular Imprints, IncCANON NANOTECHNOLOGIES, INC CHANGE OF NAME SEE DOCUMENT FOR DETAILS 0334000184 pdf
Apr 23 2014MII NEWCO, INC Molecular Imprints, IncCHANGE OF NAME SEE DOCUMENT FOR DETAILS 0334490684 pdf
Jun 13 2014Molecular Imprints, IncCANON INC RELEASE OF SECURITY INTEREST0331610705 pdf
Jun 13 2014CANON INC Molecular Imprints, IncCORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNOR AND ASSIGNEE PREVIOUSLY RECORDED ON REEL 033161 FRAME 0705 ASSIGNOR S HEREBY CONFIRMS THE ASSIGNMENT 0332270398 pdf
Jul 10 2014Molecular Imprints, IncMII NEWCO, INC ASSIGNMENT OF JOINT OWNERSHIP0333290280 pdf
Apr 27 2015CANON NANOTECHNOLOGIES, INC Molecular Imprints, IncCONFIRMATORY ASSIGNMENT OF JOINT PATENT OWNERSHIP0355070559 pdf
Aug 20 2019Molecular Imprints, IncJP MORGAN CHASE BANK, N A PATENT SECURITY AGREEMENT0501380287 pdf
Aug 20 2019MAGIC LEAP, INCJP MORGAN CHASE BANK, N A PATENT SECURITY AGREEMENT0501380287 pdf
Aug 20 2019Mentor Acquisition One, LLCJP MORGAN CHASE BANK, N A PATENT SECURITY AGREEMENT0501380287 pdf
Nov 06 2019JPMORGAN CHASE BANK, N A CITIBANK, N A ASSIGNMENT OF SECURITY INTEREST IN PATENTS0509670138 pdf
Date Maintenance Fee Events
Jul 06 2009M2551: Payment of Maintenance Fee, 4th Yr, Small Entity.
Jul 03 2013M2552: Payment of Maintenance Fee, 8th Yr, Small Entity.
Jul 03 2017M2553: Payment of Maintenance Fee, 12th Yr, Small Entity.


Date Maintenance Schedule
Jan 03 20094 years fee payment window open
Jul 03 20096 months grace period start (w surcharge)
Jan 03 2010patent expiry (for year 4)
Jan 03 20122 years to revive unintentionally abandoned end. (for year 4)
Jan 03 20138 years fee payment window open
Jul 03 20136 months grace period start (w surcharge)
Jan 03 2014patent expiry (for year 8)
Jan 03 20162 years to revive unintentionally abandoned end. (for year 8)
Jan 03 201712 years fee payment window open
Jul 03 20176 months grace period start (w surcharge)
Jan 03 2018patent expiry (for year 12)
Jan 03 20202 years to revive unintentionally abandoned end. (for year 12)