A method, apparatus and medium of conditioning a planarizing surface includes installing a wafer to be polished in a chemical mechanical polishing (cmp) apparatus having a polishing pad and a conditioning disk, polishing the wafer under a first set of pad conditioning parameters selected to maintain wafer material removal rates with preselected minimum and maximum removal rates, determining a wafer material removal rate occurring during the polishing step, calculating updated pad conditioning parameters to maintain wafer material removal rates within the maximum and minimum removal rates, and conditioning the polishing pad using the updated pad conditioning parameters, wherein the updated pad conditioning parameters are calculated by a pad wear and conditioning model that predicts the wafer material removal rate of the polishing pad based upon the rotational speed and direction of the conditioning disk.

Patent
   7040956
Priority
Jun 19 2001
Filed
Apr 29 2005
Issued
May 09 2006
Expiry
Nov 30 2021
Assg.orig
Entity
Large
28
201
EXPIRED
5. A computer readable medium comprising instructions being executed by a computer, the instructions including a computer-implemented software application for a chemical mechanical polishing process, the instructions for implementing the process comprising:
a) receiving data from a chemical mechanical polishing tool relating to the wafer removal rate of at least one wafer processed in the chemical mechanical polishing process; and
b) calculating, from the data of step (a), updated pad conditioning parameters within defined maximum and minimum values, wherein the updated pad conditioning parameters are calculated by determining the difference between an output of a pad wear and conditioning model and the data of step (a).
1. An apparatus for conditioning polishing pads used to planarize substrates by the removal of material therefrom, comprising:
a carrier assembly having an arm positionable over a planarizing surface of a polishing pad;
a conditioning disk attached to the carrier assembly;
and an actuator capable of controlling an operating parameter of the conditioning disk;
a controller operatively coupled to the actuator, the controller operating the actuator to adjust the operating parameter of the conditioning disk as a function of a pad wear and pad recovery model, the model
defining a wafer material removal rate as a function of pad conditioning parameters including at least one of conditioning disk rotation speed and direction.
12. A system for conditioning a planarizing surface in a chemical mechanical polishing (cmp) apparatus having a polishing pad against which a wafer is positioned for removal of material therefrom and a conditioning disk is positioned for conditioning of the polishing pad, comprising:
a) a pad wear and conditioning model that defines wafer material removal rate as a function of at least one pad conditioning parameters including rotation speed and direction of the conditioning disk;
b) polishing means for polishing a wafer in the cmp apparatus
c) measuring means for determining a wafer material removal rate; and
d) computing means for updating the at least one pad conditioning parameters based upon a wafer material removal rate measured using means of step (c) and the pad wear and conditioning model to maintain wafer material removal rates within the maximum and minimum removal rates.
23. A system for conditioning a planarizing surface in a chemical mechanical polishing (cmp) apparatus having a polishing pad against which a wafer is positioned for removal of material therefrom and a conditioning disk is positioned for conditioning of the polishing pad, comprising:
a) a pad wear and conditioning model that defines wafer material removal rate as a function of at least one pad conditioning parameters including at least one of rotation speed and direction of the conditioning disk;
b) a polishing pad for polishing a wafer in the cmp apparatus
c) a tool for determining a wafer material removal rate; and
d) a computer-implemented software application with instructions for updating the at least one pad conditioning parameters based upon a wafer material removal rate measured using means of step (c) and the pad wear and conditioning model to maintain wafer material removal rates within the maximum and minimum removal rates.
2. The apparatus of claim 1, wherein the pad conditioning parameters of the pad wear and pad recovery model further comprises a conditioning parameter selected from the group consisting of duration of conditioning, frequency of conditioning and translational speed of conditioning disk during conditioning.
3. The apparatus of claim 1, wherein updated pad conditioning parameters are calculated by determining a difference between an output of the pad wear and pad recovery model and a wafer material removal rate measured for a wafer polished under a first set of pad conditioning parameters.
4. The apparatus of claim 3, wherein the updated pad conditioning parameters are updated according to the equation k=(k1)+g*(k−(k1)), where k is a measured wafer material removal rate, k1 is a calculated wafer material removal rate, g is the estimate gain, and (k−(k1), is the prediction error.
6. The medium of claim 5, wherein conditioning parameters of the pad wear and conditioning model are selected from the group consisting of disk rotation speed, direction, duration of conditioning, frequency of conditioning and translational speed of conditioning disk during conditioning.
7. The medium of claim 5, wherein the difference is adjusted using an estimate gain prior to calculating updated pad conditioning parameters.
8. The medium of claim 5, wherein calculating updated pad conditioning parameters in step (b) comprises executing a recursive optimization process.
9. The medium of claim 5, wherein the maximum value for wafer material removal rate is the saturation point of the wafer material removal rate vs. conditioning down force curve.
10. The medium of claim 5, wherein the maximum value for wafer material removal rate is the initial rate.
11. The medium of claim 5, wherein the minimum value for wafer material removal rate is defined by the minimum acceptable wafer polishing time.
13. The system of claim 12, wherein a first set of the at least one pad conditioning parameters are determined using the results of a design of experiment (DOE).
14. The system of claim 12, wherein the at least one pad conditioning parameters of the pad wear and conditioning model further comprises a conditioning parameter selected from the group consisting of frequency of conditioning, duration of conditioning, and translational speed of conditioning disk during conditioning.
15. The system of claim 12, wherein the step of calculating updated at least one conditioning parameters includes calculating parameters such that the parameter is within the determined minimum and maximum values.
16. The system of claim 12, wherein the updated at least one pad conditioning parameters are calculated by determining the difference between an output of the pad wear and conditioning model and the wafer material removal rate measured using the means of step (c).
17. The system of claim 16, wherein said difference is adjusted using an estimate gain prior to calculating updated conditioning parameters.
18. The system of claim 12, wherein the step of calculating updated at least one pad conditioning parameters in step (c) comprises executing a recursive optimization process.
19. The system of claim 12, wherein the maximum value for wafer material removal rate is the saturation point of the wafer material removal rate vs. conditioning down force curve.
20. The system of claim 12, wherein the maximum value for wafer material removal rate is the initial rate.
21. The medium of claim 5, wherein the maximum value for the conditioning parameter is the value above which no incremental increase of the wafer removal rate is observed.
22. The medium of claim 21, wherein the minimum value for the conditioning parameter is the value that provides the minimum wafer removal rate.

This application is a divisional application of and claims priority from application Ser. No. 09/998,372, filed Nov. 30, 2001 now U.S. Pat. No. 6,910,947 and entitled “Control of Chemical Mechanical Polishing Pad Conditioner Directional Velocity to Improve Pad Life,” which claims priority from application Ser. No. 60/298,878 filed Jun. 19, 2001, and entitled “Advanced Process Control for Semiconductor Manufacturing Process,” and which also claims priority from application Ser. No. 60/305,798, filed Jul. 16, 2001 and entitled “Feedforward and Feedback Control for Conditioning of Chemical Mechanical Polishing Pad,” and which also claims priority from application Ser. No. 60/318,743, filed Sep. 12, 2001 and entitled “Feedforward and Feedback Control for Conditioning of Chemical Mechanical Polishing Pad.”

The present invention is generally directed to the area of polishing and methods for improving the life and effectiveness of polishing pads in a chemical mechanical polishing process.

Chemical-mechanical polishing (CMP) is used in semiconductor fabrication processes for obtaining full planarization of a semiconductor wafer. The method involves removing material (e.g., a sacrificial layer of surface material) from the wafer, (typically silicon dioxide (SiO2)) using mechanical contact and chemical erosion from, e.g., a moving polishing pad saturated with slurry. Polishing flattens out height differences, since areas of high topography (hills) are removed faster than areas of low topography (valleys). FIG. 1A shows a top view of a CMP machine 100, and FIG. 1B shows a side section view of the CMP machine 100 taken through line AA. The CMP machine 100 is fed wafers to be polished. Typically, the CMP machine 100 picks up a wafer 105 with an arm 101 and places it onto a rotating polishing pad 102. The polishing pad 102 is made of a resilient material and is often textured, to aid the polishing process. The polishing pad 102 rotates on a platen 104 or turn table located beneath the polishing pad 102 at a predetermined speed. The wafer 105 is held in place on the polishing pad 102 by the arm 101. The lower surface of the wafer 105 rests against the polishing pad 102. The upper surface of the wafer 105 is against the lower surface of the wafer carrier 106 of arm 101. As the polishing pad 102 rotates, the arm 101 rotates the wafer 105 at a predetermined rate. The arm 101 forces the wafer 105 against the polishing pad 102 with a predetermined amount of down force. The CMP machine 100 also includes a slurry dispense arm 107 extending across the radius of the polishing pad 102. The slurry dispense arm 107 dispenses a flow of slurry onto the polishing pad 102.

Over time the polishing pad loses its roughness and elasticity, and thus, its ability to maintain desired removal rates (polishing rates). It is known that the material removal rate provided by a given polishing pad decreases exponentially with time in the manner shown in FIG. 2. Further the decreased removal rate requires ever-increasing conditioning parameters, e.g., down force and/or conditioning angular velocity and/or conditioning time, in order to restore the desired removal rate of material from the wafer. As a consequence, the polishing pad must be conditioned (e.g., using a conditioning disk 108), between polishing cycles. The conditioning disk is held in place on the polishing pad by arm 109. As the polishing pad rotates, the conditioning disk 108 also rotates. Doing so roughens the surface of the pad and restores, at least temporarily, its original material removal rate. Furthermore, excessive pad conditioning shortens pad life.

A problem with conventional conditioning methods is that they may over-condition, e.g., wear out prematurely, the polishing pad. Each time a pad is replaced, one to several wafers must be polished thereon and the results measured, to ensure that the tool will yield the required polishing. This translates into processing delays and lost tool efficiency.

In an attempt to extend the life of the pad, one may selectively condition portions a polishing pad, or vary the down force of the conditioning element (e.g., conditioning disk 108) along the surface of the CMP pad, based upon the distribution of waste matter across the planarizing surface. Other methods of extending pad life include varying the conditioning recipe across the surface of the polishing pad in response to polishing pad non-uniformities. However, these reported CMP processes are typically more concerned with improving the CMP process, e.g., improving within water non-uniformity, than in extending pad life.

Methods and devices that would extend pad life and therefore reduce the frequency of pad replacement offer significant cost savings to the wafer fabrication process.

The present invention relates to a method, system and medium for conditioning a planarizing surface of a polishing pad in order to extend the working life of the pad. More specifically, at least some embodiments of the present invention use physical and/or chemical models (which can be implemented as a single model or multiple models) of the pad wear and wafer planarization processes to predict polishing pad performance and to extend pad life. This results in an increase in the number of semiconductor wafer or other substrates that can be polished with a single polishing pad, thereby providing significant cost savings in the CMP process, both in extending pad life and reducing the time devoted to pad replacement.

The model predicts polishing effectiveness (wafer material removal rate) based on the “conditioning” operating parameters of the conditioning process. In at least some embodiments of the present invention, operating parameters of conditioning include angular direction and angular velocity of a conditioning disk (where a disk is used to condition) during conditioning, and may also include other factors, such as the frequency of conditioning and time of conditioning. The model selects, and then maintains, polishing pad conditioning parameters within a range that does not overcondition the pad while providing acceptable wafer material removal rates. Thus the present invention provides a process for the feed forward and feed backward control of the CMP polishing process.

In one aspect of the invention, a method of conditioning a planarizing surface in a CMP apparatus having a polishing pad and a conditioning disk includes polishing a wafer in the CMP apparatus under a first set of pad conditioning parameters selected to maintain wafer material removal rates within preselected minimum and maximum removal rates; measuring a wafer material removal rate occurring during said polishing step; calculating, based upon said wafer material removal rate, updated pad conditioning parameters to maintain wafer material removal rates within the maximum and minimum removal rates; and conditioning the polishing pad using the updated pad conditioning parameters. The updated pad conditioning parameters are calculated using a pad wear and pad recovery model by calculating wafer material removal rate as a function of pad conditioning parameters including conditioning disk rotational speed and direction; and determining the difference between the calculated and measured wafer material removal rates and calculating updated pad conditioning parameters to reduce said difference, wherein the updated conditioning parameters are updated according to the equation k=(k1)+g*(k−(k1)), where k is a measured parameter, k1 is calculated parameter estimate, g is the estimate gain and (k−(k1)) is the prediction error.

In at least some embodiments of the invention, the first set of pad conditioning parameters are determined empirically, or using historical data, or using the results of the design of experiment (DOE).

In at least some embodiments of the invention, the pad conditioning parameters of the pad wear and pad recovery model further includes frequency of conditioning, or time of conditioning, or translational speed of conditioning disk during conditioning.

In at least some embodiments of the invention, the step of determining the wafer material removal rate includes measuring the wafer thickness before and after polishing.

In at least some embodiments of the invention, the step of calculating updated pad conditioning parameters in step (c) includes executing a recursive optimization process, or in at least some embodiments, includes calculating conditioning parameters such that the parameter is within determined maximum and minimum values.

In at least some embodiments of the present invention, the gain is an indication of variability or reliability in the measured parameter, and the gain is in the range of about 0.5 to 1.0, or gain is in the range of about 0.7 to 0.9.

In at least some embodiments, updated pad conditioning parameters are calculated by determining a difference between an output of the pad wear and pad conditioning model and the wafer material removal step (c). In at least some embodiments, this difference is minimized.

In at least some embodiments of the invention, the steps (b) through (e) are repeated.

In at least some embodiments of the invention, the maximum value for wafer material removal rate is the saturation point of the wafer material removal rate vs. conditioning down force curve, or in at least some embodiments, the maximum value for wafer material removal rate is the initial rate, or in at least some embodiments, the minimum value for wafer material removal rate is defined by the maximum acceptable wafer polishing time.

In at least some embodiments of the invention, the wafer material removal rate is defined by the equation

RemovalRate ] min max = f ( ω disk ] min max , f ] min max , t conditioning ] min max , direction , T 2 ] min max ) ,

In another aspect of the invention, an apparatus for conditioning polishing pads used to planarize substrates includes a carrier assembly having an arm positionable over a planarizing surface of a polishing pad; a conditioning disk attached to the carrier assembly; and an actuator capable of controlling an operating parameter of the conditioning disk; and a controller operatively coupled to the actuator, the controller operating the actuator to adjust the operating parameter of the conditioning disk as a function of a pad wear and pad recovery model that predicts the wafer material removal rate based upon conditioning pad rotational speed and direction.

In at least some embodiments of the invention, the updated pad conditioning parameters are calculated using a pad wear and pad recovery model by calculating wafer material removal rate as a function of pad conditioning parameters including conditioning disk rotational speed and direction; and determining the difference between the calculated and measured wafer material removal rates and calculating updated pad conditioning parameters to reduce said difference, wherein the updated conditioning parameters are updated according to the equation k=(k1)+g*(k−(k1)), where k is a measured parameter, k1 is calculated parameter estimate, g is the estimate gain and (k−(k1)) is the prediction error.

In at least some embodiments, the pad conditioning parameters of the pad wear and pad recovery model further includes frequency of conditioning, time of conditioning, or speed of conditioning disk during conditioning.

In at least some other embodiments of the present invention, the gain is an indication of variability or reliability in the measured parameter.

In another aspect of the invention, a method of developing a pad wear and pad conditioning model for optimization of the pad conditioning for polishing pads used to remove material from a wafer, is provided. The method includes:

In at least some embodiments of the invention, the at least one pad conditioning parameter includes a plurality of parameters and the wafer removal rate is defined as a weighted function of the plurality of pad conditioning parameters, or in at least some embodiments, the at least one pad conditioning parameters includes conditioning disk rotational speed, or in at least one embodiment, the at least one pad conditioning parameter further includes conditioning disk rotational direction.

In at least some embodiments of the invention, the at least one pad conditioning parameter includes one or more parameters selected from the group consisting of conditioning disk down force, conditioning disk rotational rate and direction, frequency and duration of conditioning, and conditioning disk translational speed.

In at least some embodiments of the invention, the relationship between the at least one conditioning parameter and wafer removal rate is determined by incrementally varying the conditioning parameter and measuring the resultant wafer removal rate.

In at least some embodiments of the invention, the maximum value for the conditioning parameter is the value above which no incremental increase of the wafer removal rate is observed, or in at least some embodiments, the minimum value for the conditioning parameter is the value which provides the minimum wafer removal rate.

In at least some embodiments of the invention, the invention further includes polishing a wafer in the CMP apparatus under a first set of pad conditioning parameters selected to maintain wafer material removal rates within preselected minimum and maximum removal rates including conditioning disk rotational speed and direction, determining a wafer material removal rate occurring during said polishing step, calculating updated pad conditioning parameters based upon said determined wafer material removal rate and the pad wear and conditioning model to maintain wafer material removal rates within the maximum and minimum removal rates, and conditioning the polishing pad using the updated pad conditioning parameters.

In at least some embodiments of the invention, the updated pad conditioning parameters are calculated by determining the difference between an output of the pad wear and conditioning model and said determined wafer material removal, or in at least some embodiments, the updated pad conditioning parameters are updated according to the equation k=(k1)+g*(k−k1), where k is a measured wafer material removal rate, k1 is a calculated wafer material removal rate, g is the estimate gain, and (k−(k1)) is the prediction error.

In another aspect of the invention, a computer readable medium is provided having instructions being executed by a computer, the instructions including a computer-implemented software application for a chemical mechanical polishing process. The instructions for implementing the process include:

In at least some embodiments of the invention, calculating updated conditioning parameters includes calculating parameters such that the parameter is within the determined minimum and maximum values, or in at least some embodiments, calculating updated pad conditioning parameters in step (b) comprises executing a recursive optimization process.

In at least some embodiments of the invention, the maximum value for wafer material removal rate is the saturation point of the wafer material removal rate vs. conditioning down force curve, or in at least some embodiments, the maximum value for wafer material removal rate is the initial rate, or in at least some embodiments, the minimum value for wafer material removal rate is defined by the minimum acceptable wafer polishing time.

In at least some embodiments of the invention, the difference is adjusted using an estimate gain prior to calculating updated pad conditioning parameters.

In another aspect of the invention, a method of conditioning a planarizing surface in a chemical mechanical polishing (CMP) apparatus having a polishing pad against which a wafer is positioned for removal of material therefrom and a conditioning disk is positioned for conditioning of the polishing pad is provided. The method includes:

In another aspect of the invention, a system for conditioning a planarizing surface in a chemical mechanical polishing (CMP) apparatus having a polishing pad against which a wafer is positioned for removal of material therefrom and a conditioning disk is positioned for conditioning of the polishing pad includes:

Thus, polishing pad life is extended by using an appropriate conditioning angular velocity to keep within the acceptable range of wafer material removal rate and reversing direction of conditioning and/or adjusting angular velocity or other conditioning parameters whenever the removal rate drops below the acceptable removal rate. By applying a “one size fits all” approach to pad conditioning parameters, e.g., by determining conditioning parameters without accounting for actual change in wafer material removal rates, conventional processes overcompensate, thereby removing more pad material than is necessary and accelerating pad wear. In contrast, the present invention thus provides improved conditioning parameters by determining only those forces that are minimally necessary to recondition the damaged pad.

Various objects, features, and advantages of the present invention can be more fully appreciated with reference to the following detailed description of the invention when considered in connection with the following drawings.

FIGS. 1A–B show a CMP machine. FIG. 1A shows a top plan view of a conventional CMP machine. FIG. 1B shows a side sectional view of the conventional CMP machine from FIG. 1A taken through line A—A.

FIG. 2 shows an example exponential decay of wafer material removal rate and the equilibrium state of the removal rate that occurs between FIGS. 3B and 3C.

FIGS. 3A–C are cross-sectional views of polishing pads. FIG. 3A is a view of a new polishing pad. FIG. 3B is a view of a polishing pad after a single (or few) conditioning event. FIG. 3C shows an old polishing pad whose surface asperities have been smoothed out by repeated conditioning.

FIGS. 4A–C are cross-sectional views of polishing pads. FIG. 4A is a view of a new polishing pad. FIG. 4B is a view of a polishing pad after conditioning in a first angular direction. FIG. 4C shows the same polishing pad after conditioning in the opposite angular direction.

FIG. 5 is a flow diagram of the feedback loop used in at least some embodiments of a CMP process optimization.

FIG. 6 is a flow diagram illustrating an example of data collection and generation of a pad wear and conditioning model.

FIG. 7 is a model of polishing pad wear based on FIGS. 3 and 4 used in predicting and optimizing the water removal rate in a CMP process.

FIG. 8 is a model of polishing pad recovery based on FIGS. 3 and 4 used in predicting and optimizing the water removal rate in a CMP process.

FIG. 9 is a model based on FIGS. 5 and 6 for predicting and modifying CMP operating parameters to optimize the wafer process.

FIG. 10 is a side sectional view of a CMP machine for use in at least some embodiments of the present invention.

FIG. 11 is a block diagram of a computer system that includes tool representation and access control for use in at least some embodiments of the invention.

FIG. 12 is an illustration of a floppy disk that may store various portions of the software according to at least some embodiments of the invention.

Novel methods for feed forward and feed back controls of the CMP process for maximizing the life of the polishing pad are described herein. Extended pad life results in reduced down time for the CMP process because the polishing pad can polish more wafers over a longer period of time without requiring replacement or adjustment (e.g., removal of the damaged portion of the pad). The term wafer is used in a general sense to include any substantially planar object that is subject to polishing. Wafers include, in additional to monolith structures, substrates having one or more layers or thin films or other architecture deposited thereon.

The polishing pad surface needs to maintain a certain level of roughness and elasticity in order to provide the required wafer material removal rates in a CMP process. The roughness and elasticity of the pad decreases with successive wafer polishes, thereby reducing the wafer material removal rate. Initial polishing pad surface conditions (asperities 320) are shown in FIG. 3A, at which time surface roughness is at a maximum. After the pad has been used for polishing, these asperities are pushed down, often in varying directions. To compensate for this, and restore at least some of the roughness of the pad, the pad is conditioned using, for example, a conditioning disk that rotates, for example, in the direction indicated by arrow 340 shown in FIG. 3B. Although the invention is described herein with disk style conditioners, the use of other conditioning mechanisms is specifically contemplated. This, however, introduces a directional bias into the pad surface features 320. Subsequent conditioning operations using the same direction of conditioning may lead to increased directionality in pad surface asperities, thereby blocking the flow of the slurry in the pad and also reducing the contact surface between the pad asperities and the polishing wafer. This is shown by the even greater directional bias of the asperities 320 of FIG. 3C. As a result, wafer material removal rates worsen as directional bias of the pad surface features increases. FIG. 2 shows the decrease in removal rate over time as a result of the conditioning process shown in FIGS. 3A–C.

FIGS. 4A, 4B and 4C represent a simplified model used for overcoming the aforementioned bias issue, wherein the angular velocity of the conditioning disk is alternated. Referring first to FIG. 4A, this figure shows initial polishing pad surface conditions. The polishing pad 400 is conditioned by contacting the pad with a conditioning disk at a first angular velocity (e.g., clockwise, indicated by arrow 420 in FIG. 4B), which introduces some directionality to the polishing pad surface features 440. In a subsequent conditioning event, the angular velocity of the conditioning disk is reversed (e.g., counterclockwise, as shown by arrow 460 in FIG. 4C) to “undue” the effect of the previous conditioning events. Alternating the speed and direction of conditioning extends the surface roughness and elasticity. The process shown in FIGS. 4A, 4B and 4C may be repeated for the entire life cycle of the pad until no more active sites are available.

Thus, the polishing pad may be conditioned in a first direction for a predetermined number of times after which the direction of conditioning is reversed. The optimal number of conditioning events in a particular direction is expected to change (decrease) as the pad ages. The model for pad conditioning and recovery adjusts the process accordingly.

The mechanical processes described above during wafer planarization and conditioning of the polishing pad provide a model for optimization of the planarization process. By adjusting pad conditioning parameters according to this model, the pad life can be extended without compromise to the wafer material removal rate. In particular, speed and direction of the conditioning disk, an optionally other operating variables such as conditioning frequency, conditioning duration, and transitional speed of conditioning disk across the pad surface, are adjusted in a feed forward and feed back loop that predicts and then optimizes pad conditioning operating parameters.

According to at least one embodiment of the present invention, an initial model is developed based upon knowledge of the wafer polishing process, and is used in at least some embodiments of the present invention as is shown in FIG. 5. Based on that initial model, e.g., the wafer and polishing pad parameters remain constant, initial processing conditions are identified that will provide a wafer material removal rate between a preselected minimum and maximum value for a given set of conditioning parameters, hereinafter, the “acceptable” range for wafer material removal rates. The conditions are selected to prevent overconditioning of the pad.

Referring now to FIG. 5, wafers are polished according to the initial conditions in step 500. The thicknesses of the polished wafers are measured and a wafer material removal rate is calculated in step 510, which information is then used in a feedback loop to maintain the wafer material removal rate within the accepted range. The actual removal rate is compared with the predicted removal rate (derived from the pad wear model). Deviations, i.e., prediction errors, are used to adjust pad conditioning parameters in step 520 according to the model of the invention to compensate for the reduced polishing capability of the polishing pad as identified in the model and/or to correct for any un-modeled effects. The polishing pad is conditioned according to the updated conditioning parameters in step 530. Polishing is repeated in step 540 and the polishing results are used to further update the polishing conditions by repeating steps 510530.

By maintaining the wafer material removal rate and conditioning parameters within the preselected minimum and maximum range, overconditioning of the pad is prevented; that is, conditioning parameters may be used that are just sufficient to restore polishing pad effectiveness, but which do not unduly damage the pad. In operation, it may be desirable to select pad conditioning parameters that result in wafer material removal rates that are close to the minimum acceptable rates, as these conditioning forces are less aggressive and therefore are more likely to avoid overconditioning of the polishing pad. However, one should be cautious (or at least cognizant) about operating too closely to the minimum removal rate since a sudden degradation in the pad condition may cause the wafer material removal rate to drop below the minimum acceptable rate.

Pad conditioning optimization is carried out with reference to a specific polishing system. That is, the conditions that improve pad lifetime are specific to the type of wafer being polished, the slurry used in polishing and the composition of the polishing pad. Once a wafer/slurry/polishing pad system is identified, the system is characterized using the models developed and discussed herein. Exemplary polishing pad and wafer parameters include polishing pad size, polishing pad composition, slurry composition, wafer composition, rotational velocity of the polishing pad, polishing pad pressure, and translational velocity of the wafer.

In at least some embodiments of the present invention, it is envisioned that a separate model (or at least a supplement to a composite model) is created for each slurry/polishing pad wafer combination (i.e., for each different type/brand of slurry and each type/brand of pad) that may be used in production with a given type of wafer.

FIG. 6 shows a flow diagram of the steps used in the development of the pad wear and conditioning model in at least some embodiments of the invention. In the design of experiment (DOE) in step 600, that is, a set of experiments used to define the model, the relationship between wafer material removal rate and a first conditioning parameter x1, e.g., conditioning disk angular velocity (rpm), is determined using the selected polishing system. The relationship is determined by measuring wafer material removal rates at different conditioning disk angular velocities with wafer parameters such as polishing force, polishing duration, etc., held constant. Thus, a wafer is polished under specified conditions, e.g., for a specified time and at specified polishing pad and wafer speeds, and the rate of material removal is determined. Pad conditioning and wafer polishing (the “polishing event”) may be carried out simultaneously, i.e., using an apparatus such as shown in FIG. 10, or pad conditioning may be followed by wafer polishing. The conditioning disk velocity is increased incrementally from wafer to wafer (or thickness measurement to thickness measurement) with all other parameters held constant, and the wafer removal rate is again determined. A curve as shown in FIG. 7 may be generated, which illustrates the effect of the conditioning disk velocity on the wafer's material removal rate for a given polishing system (all other parameters being held constant). The curve is represented as a linear curve over the removal rate of interest, but may, in at least some embodiment of the invention, be a non-linear, e.g. quadratic or exponential curve.

In step 610 of FIG. 6, as contemplated by at least some of the embodiments of the invention, minimum and maximum values for the conditioning parameter are determined. With reference to FIG. 7, a curve 700 represents the change in wafer material removal rate with time (as determined by number of wafers polished) for a given set of operating parameters. The removal rate is represented as decreasing linearly with time until an equilibrium rate is achieved, which may be, but is not required to be, less than the minimum removal rate 770, which is determined by the operator, for example, based upon production needs. The slope of the curve is characterized by the angle θ1. The curve can be, in at least some of embodiments, linear or non-linear, e.g. exponential or quadratic, or the like. The minimum wafer material removal rate is dictated by production goals, since a minimal wafer throughput rate is needed. The maximum wafer material removal rate 795 is defined as the initial removal rate.

Successive curves 720, 740, 760 may also be generated for different conditioning disk velocities (here increasing velocities are shown). The removal rate range 780 defines the removal rate maximum and minimum for the model, where the maximum removal rate is the initial removal rate and the minimum removal rate is production determined. Intersection of curves 700, 720, 740, 760 with the minimum removal rate defines the upper limit of wafers that can be polished under the defined pad conditioning parameters. The angles θ1, θ2, θ3, and θ4 are defined by the intersection of the equilibrium curve 790 with curves 700, 720, 740, 760, respectively. The values for θ are descriptive of the response of the polishing process to conditioning parameters. The larger the value for θ, the steeper the slope of the curve and the more sensitive the planarization process is to conditioning parameters.

In step 620, as contemplated by at least some embodiments of the present invention, the relationship between wafer material removal rate and a second conditioning parameter, e.g., direction of pad conditioning, is determined in the same polishing system. In step 630, x2, maximum and minimum values for the second conditioning parameter and wafer material removal rates is determined.

As is described above with reference to FIGS. 3 and 4, once the equilibrium wafer material removal rate or the minimum acceptable material removal rate is reached, recovery is possible by reversing the direction of pad conditioning (see, FIG. 4C). With reference to FIG. 8, a curve is generated to illustrate the effect of direction of conditioning pad rotation on wafer removal rate (all other variables held constant). Curve 800 represents the increase in wafer material removal rate with time (as determined by number of wafers polished) for a given angular velocity as the flattening of the polishing pad surface is alleviated upon conditioning in the reverse direction. The removal rate is shown as increasing linearly with time until a saturation point 810 is achieved, which is typically less than the initial maximum removal rate of the pad. In at least some embodiments of the invention, the curve may be linear or non-linear, e.g. exponential or quadratic, or the like. Additional polishing results in loss of surface roughness due to orientation in the opposite direction, and so wafer material removal rates again are expected to decline. Thus, the maximum wafer material removal rate 815 is defined at the curve maximum. As above, an operating minimum wafer material removal rate 825 can be suggested by production goals, since a minimal wafer throughput rate is needed. The removal rate range 880 defines the removal rate maximum and minimum for the pad recovery model.

In at least some embodiments of the invention, successive curves 820, 840, 860 are also generated for different velocities of the conditioning disk. Each curve reaches a maximum, which represents the optimal recovery of the polishing pad due to reversal of the conditioning direction and then declines. The angles φ1, φ2, φ3, and φ4 are defined for each curve 800, 820, 840, 860, respectively. The value for φ is descriptive of the recovery of the polishing pad. The larger the value for φ, the steeper the slope of the curve and the more sensitive the recovery process is to conditioning parameters. Since it is not possible to fully compensate for pad wear by reversing direction of conditioning, for a given sample curve conditioned at a given angular velocity, θ>φ.

According to the above model, once the maximal recovery in wafer material removal rates is achieved, the wafer material removal rate will again decline and approach the minimum acceptable removal rate. The direction of the conditioning disk is again reversed and wafer material removal rates are expected to increase once again. The values for each successive maximum in the recovery curves of FIG. 8 are expected to decrease until performance above the minimum removal rate is not possible. At this point, the conditioning velocity is increased in order to bring the removal rate into the acceptable range. The model at the higher velocity is now used to predict future performance.

The results of these studies provide maximum and minimum wafer material removal rates, and performance at different conditioning velocities. In addition, values for constants θ1−θ4 and φ1−φ4 relating to curve slopes may be determined. Although the above designs of experiment show a conditioning parameter that demonstrates an increase in wafer removal rate with increase in magnitude of the parameter, it is understood that the opposite relationship can exist, so that the minimal parameter value produces the maximum wafer removal rate. The models can be adjusted accordingly. Maximum and minimum conditions may be determined for any combination of polishing pad, wafer and polishing slurry known in the art. Additional parameters, up to xn, may be included in the model and their minimum and maximum values determined as indicated by steps 640 and 650.

The model can be represented as raw data that reflects the system, or it can be represented by equations, for example multiple input-multiple output linear, quadratic and non-linear equations, which describe the relationship among the variables of the system. Feedback and feed forward control algorithms are constructed in step 660 based on the above model using various methods. For example, the wafer removal rate may be defined as the weighted contribution of all the pad conditioning parameters, x1 through xn. The algorithms may be used to optimize conditioning parameters using various methods, such as recursive parameter estimation. Recursive parameter estimation is used in situations such as these, where it is desirable to model on line at the same time as the input-output data is received. Recursive parameter estimation is well-suited for making decisions on-line, such as adaptive control or adaptive predictions. For more details about the algorithms and theories of identification, see Ljung L., System Identification—Theory for the User, Prentice Hall, Upper Saddle River, N.J. 2nd edition, 1999.

In at least some embodiments of the present invention, the CMP pad life is a function of surface roughness and pad elasticity as shown in eq. 1:
PadLife=f(surface roughness, elasticity).  (1)

In at least some embodiments of the present invention, the wafer material removal rate is described according to eq. 2:

RemovalRate ] min max = f ( ω disk ] min max , f ] min max , t conditioning ] min max , direction , T 2 ] min max ) , ( 2 )
where ωdisk is the angular velocity (rotational speed, e.g., rpm) of the conditioning disk during conditioning of the polishing pad, direction is the direction of spin, i.e., clockwise or counterclockwise, of the conditioning disk, T2 is the translational speed of the conditioning disk across the pad surface, as shown in the exemplary CMP device in FIG. 10 (which will be described in greater detail below), tconditioning is the duration of conditioning, and f is frequency of conditioning. Frequency is measured as the interval, e.g., number of wafers polished, between conditioning events and direction is defined above. For example, a frequency of 1 means that the pad is conditioned after every wafer, while a frequency of 3 means that the pad is conditioned after every third wafer. The sweeping speed is the speed at which the conditioning disk moves across the surface of the polishing pad. The motion is indicated by arrow T2 in FIG. 10. For the purposes of initial investigation, it is assumed in at least some embodiments of the present invention that t (time), T2 (sweep speed), and f (frequency) are held constant.

The objective function is to maintain removal rates within the minimum and maximum allowable rates (the “acceptable rates”) by controlling the conditioning disk speed and direction, and, optionally, by controlling other factors such as frequency and duration of conditioning, conditioning disk down force, speed of translation of the conditioning disk across the pad surface. Each of the conditioning parameters is maintained within their determined boundary conditions, i.e., minimum and maximum values, as well.

The CMP parameters (variable) and constants from the model may then be programmed into a computer, which may then constantly monitor and appropriately vary the parameters during the process to improve the wafer material removal rate and the pad life, as shown in FIG. 9. Parameters from the base study 901 are input into the computer or other controller 902, which runs the wafer polishing process, and the estimator 903, which monitors and modifies the process parameters. The actual output (i.e., measured removal rate) 904 is monitored and compared to the predicted output (i.e., target removal rate) 905 calculated by estimator 903. The difference 906 between the actual output 904 and the predicted output 905 is determined and reported 907 to the estimator 903, which then appropriately generates updated parameters 908 for the process 902.

Updating model parameters for feedback control is based on eq. 3.
k=(k1)+g*(k−(k1)),  (3)

where k is a current parameter, k1 is a previous parameter estimate, g is the estimate gain and (k−(k1)) is the prediction error. Estimate gain is a constant selected by the user, which is used as a measure of machine error or variability. Gain factor may be determined empirically or by using statistical methods. In at least some embodiments, the gain is in the range of about 0.5 to 1.0, or in at least some embodiments, in the range of about 0.7 to 0.9.

By way of example, a series of curves may be generated for a polishing system of interest as described above for determining the relationship between wafer material removal rate and conditioning disk rotational velocity and direction. Curves are generated using a standard polishing procedure, with all operating conditions held constant with the exception of the parameter(s) under investigation. Exemplary polishing pad and wafer parameters that are held constant include polishing pad size, polishing pad composition, wafer composition, polishing time, polishing force, rotational velocity of the polishing pad, and rotational velocity of the wafer. The variable parameters include at least the angular speed and direction of the conditioning disk; however, additional parameters may be included in the model. Using the model such as shown in FIG. 6 for at least some of the embodiments of the invention, and the curves generated as in FIGS. 7 and 8, values for θ1−θ4, φ1−φ4, minimum and maximum values for wafer material removal rate, conditioning down force and conditioning disk rotational velocity are determined. An algorithm that models the wafer planarization is defined, and a first set of pad conditioning parameters may be determined for a polishing system of interest, either empirically or using historical data or data from the DOE.

An algorithm which models the pad wear and pad recovery process is input into the estimator and a predicted wafer material removal rate is calculated based upon the model. The actual results are compared against the predicted results and the error of prediction is fed back into the estimator to refine the model. New conditioning parameters are then determined. Based upon the models described herein, these parameters are just sufficient to revitalize the pad surface without overconditioning. Thus, the smallest increment in conditioning parameters that meet the model criteria is selected for the updated conditioning parameters. Subsequent evaluation of the updated model will determine how good is the fit, and further modifications can be made, if necessary, until the process is optimized.

In at least some embodiments of the present invention, the conditioning parameters are updated in discrete increments or steps, defined by way of example, by the incremental curves shown in FIGS. 7 and 8. A suitable number of curves are generated so that steps are small enough to permit minor adjustments to the conditioning parameters.

Also, in at least some embodiments of the present invention, the updated conditioning parameters may be determined by interpolation to the appropriate parameters, which may lie between curves. Interpolation may be appropriate in those instances where a fewer number of curves are initially generated and the experimental results do not provide a fine resolution of the parameters.

While deviations from the predicted rate reflects, in part, the inability of the model to account for all factors contributing to the process (this may be improved with subsequent iterations of the feedback process), deviations from the predicted wafer material removal rate over time represent a degradation in CMP pad polishing. By identifying and modifying the pad conditioning process to account for these changes in polishing capabilities, optimal wafer material removal rates are maintained without overconditioning of the condition pads, e.g., operating above the saturation point of the system.

An additional feature of the method is the use of gain factor to qualify the prediction error, as shown in eq. 3. Thus, the method suggests that the model need not correct for 100% of the deviation from predicted value. A gain factor may be used to reflect uncertainty in the measured or calculated parameters, or to “damp” the effect of changing parameters too quickly or to a too great an extent. It is possible, for example, for the model to overcompensate for the prediction error, thereby necessitating another adjustment to react to the overcompensation. This leads to an optimization process that is jumpy and takes several iterations before the optimized conditions are realized. Use of a gain factor in updating the parameters for feedback control qualifies the extent to which the model will react to the prediction error.

Once the basic system is understood and optimized, it is possible to empirically vary other conditioning operating parameters and access their impact on pad conditioning and wafer polishing. For example, conditioning down force, which may be set to a constant value in the initial study, may be increased (or decreased). The system is monitored to determine the effect this change had on the system. It should be readily apparent that other factors relevant to pad wear and conditioning may be evaluated in this manner. By way of example, conditioning time (residence time of the disk on the pad), conditioning disk translational speed, conditioning down force, and the like may be investigated in this manner. In addition, the model may be modified to include additional parameters in the model.

It is envisioned that at least some embodiments of the present invention may be practiced using a device 1000 such as the one shown in FIG. 10. The apparatus has a conditioning system 1010 including a carrier assembly 1020, a conditioning disk 1030 attached to the carrier assembly, and a controller 1040 operatively coupled to the carrier assembly to control the down force (F) and rotation rate (ω) of the conditioning disk. The carrier assembly may have an arm 1050 to which the conditioning disk 1030 is attached and means 1060a–d to move the conditioning disk in and out of contact with the planarizing surface. For example, the controller 1040 may be operatively coupled to the moving means to adjust the height and position of the arm carrying the conditioning disk (1060a, 1060b, 1060c, 1060d). Similar controls for control of the position and movement of the wafer may also be present. In operation, the controller adjusts the operating parameters of the conditioning disk, e.g., down force and rotation rate, in response to changes in wafer material removal rate. The controller may be computer controlled to automatically provide conditioning according to the calculated conditioning recipe. Thus, the apparatus provides a means for selectively varying the pad conditioning parameters over the operating life of the pad 1080 in order to extend pad life without compromise to the planarization process of the wafer 1090. Other types of devices where, e.g., other components have their height, positions, and/or rotations adjusted are also contemplated by at least some embodiments of the present invention.

Additional apparatus utilized to implement the feedforward and feedback loop include a film thickness measurement tool to provide thickness data needed to calculate wafer material removal rate. The tool may be positioned on the polishing apparatus so as to provide in-line, in situ measurements, or it may be located remote from the polishing apparatus. The tool may use optical, electrical, acoustic or mechanical measurement methods. A suitable thickness measurement device is available from Nanometrics (Milpitas, Calif.) or Nova Measuring Instruments (Phoenix, Ariz.). A computer may be utilized to calculate the optimal pad conditioning recipe based upon the measured film thickness and calculated removal rate, employing the models and algorithm provided according to the invention. A suitable integrated controller and polishing apparatus (Mirra with iAPC or Mirra Mesa with iAPC) is available from Applied Materials, California.

Exemplary semiconductor wafers that can be polished using the concepts discussed herein including, but are not limited to those made of silicon, tungsten, aluminum, copper, BPSG, USG, thermal oxide, silicon-related films, and low k dielectrics and mixtures thereof.

The invention may be practiced using any number of different types of conventional CMP polishing pads. There are numerous CMP polishing pads in the art which are generally made of urethane or other polymers. However, any pad that can be reconditioned can be evaluated and optimized using the method of the invention. Exemplary polishing pads include Epic™ polishing pads (Cabot Microelectronics Corporation, Aurora Ill.) and Rodel® IC1000, IC1010, IC1400 polishing pads (Rodel Corporation, Newark, Del.), OXP series polishing pads (Sycamore Pad), Thomas West Pad 711, 813, 815, 815-Ultra, 817, 826, 828, 828-E1 (Thomas West).

Furthermore, any number of different types of slurry can be used in the methods of the invention. There are numerous CMP polishing slurries in the art, which are generally made to polish specific types of metals in semiconductor wafers. Exemplary slurries include Semi-Sperse® (available as Semi-Sperse® 12, Semi-Sperse® 25, Semi-Sperse® D7000, Semi-Sperse® D7100, Semi-Sperse® D7300, Semi-Sperse® P1000, Semi-Sperse® W2000, and Semi-Sperse® W2585) (Cabot Microelectronics Corporation, Aurora Ill.), Rodel ILD1300, Klebesol series, Elexsol, MSW1500, MSW2000 series, CUS series and PTS (Rodel).

In at least some embodiments, the method of the invention can be used to predict pad life for tool scheduling. For example, if the number of wafers, after each condition cycle decreases, one could predict a pad life end point and use that prediction to schedule retooling.

The present invention is described above under conditions where wafer polishing parameters are held constant. However, in at least some embodiments of the invention, the methodology can also be used together with an optimization engine when the wafer polishing parameters are changing through an optimization engine.

In at least some embodiments, pad conditioning optimization may be carried out together with optimization of wafer polishing. This can be accomplished through optimization by having the optimization search engine's objective function minimize a function that describes both polishing and conditioning parameters.

Assuming n number of polishing parameters to be changed during the wafer polishing, N1, N2, N3. . . . Nn, and y number of control parameters, Y1, Y2, . . . Yy, then
S=WN1(N1previous−N1current)2+WN2(N2previous−N2current)2+. . . WNn(Nnprevious−Nncurrent)2+Wωprevious−ωcurrent)2+Wd(dprevious−dcurrent)2−WY1(Y1previous−Y1current)2+WY2(Y2previous−Y2current)2+WYy(Yyprevious−Yycurrent)2,
where Wx is a weighing factor for parameter x (e.g., N1, N2, Y1, Y1, F, etc.), ω is the pad rotational velocity, and d is the conditioning pad direction of spin. Other pad conditioning parameters can be included in the function. The optimization process then seeks to minimize S. Thus, the method of the present invention can be used under conditions when the polishing parameters are held constant or when the polishing parameters are to be changed through optimization.

Various aspects of the present invention that can be controlled by a computer, including computer or other controller 902, can be (and/or be controlled by) any number of control/computer entities, including the one shown in FIG. 11. Referring to FIG. 11 a bus 1156 serves as the main information highway interconnecting the other components of system 1111. CPU 1158 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of embodiments of the present invention as well as other programs. Read only memory (ROM) 1160 and random access memory (RAM) 1162 constitute the main memory of the system. Disk controller 1164 interfaces one or more disk drives to the system bus 1156. These disk drives are, for example, floppy disk drives 1170, or CD ROM or DVD (digital video disks) drives 1166, or internal or external hard drives 1168. These various disk drives and disk controllers are optional devices.

A display interface 1172 interfaces display 1148 and permits information from the bus 1156 to be displayed on display 1148. Display 1148 can be used in displaying a graphical user interface. Communications with external devices such as the other components of the system described above can occur utilizing, for example, communication port 1174. Optical fibers and/or electrical cables and/or conductors and/or optical communication (e.g., infrared, and the like) and/or wireless communication (e.g., radio frequency (RF), and the like) can be used as the transport medium between the external devices and communication port 1174. Peripheral interface 1154 interfaces the keyboard 1150 and mouse 1152, permitting input data to be transmitted to bus 1156. In addition to these components, system 1111 also optionally includes an infrared transmitter and/or infrared receiver. Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission. Instead of utilizing an infrared transmitter or infrared receiver, the computer system may also optionally use a low power radio transmitter 1180 and/or a low power radio receiver 1182. The low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver. The low power radio transmitter and/or receiver are standard devices in industry.

Although system 1111 in FIG. 11 is illustrated having a single processor, a single hard disk drive and a single local memory, system 1111 is optionally suitably equipped with any multitude or combination of processors or storage devices. For example, system 1111 may be replaced by, or combined with, any suitable processing system operative in accordance with the principles of embodiments of the present invention, including sophisticated calculators, and hand-held, laptop/notebook, mini, mainframe and super computers, as well as processing system network combinations of the same.

FIG. 12 is an illustration of an exemplary computer readable memory medium 1284 utilizable for storing computer readable code or instructions. As one example, medium 1284 may be used with disk drives illustrated in FIG. 11. Typically, memory media such as floppy disks, or a CD ROM, or a digital video disk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein. Alternatively, ROM 1160 and/or RAM 1162 illustrated in FIG. 11 can also be used to store the program information that is used to instruct the central processing unit 1158 to perform the operations associated with the instant processes. Other examples of suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc. In addition, at least some embodiments of the present invention contemplate that the medium can be in the form of a transmission (e.g., digital or propagated signals).

In general, it should be emphasized that the various components of embodiments of the present invention can be implemented in hardware, software or a combination thereof. In such embodiments, the various components and steps would be implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using the C, C++, or any assembly language appropriate in view of the processor(s) being used. It could also be written in an interpretive environment such as Java and transported to multiple destinations to various users.

Although various embodiments that incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that incorporate these teachings.

Paik, Young Joseph

Patent Priority Assignee Title
10068846, Sep 22 2016 International Business Machines Corporation Surface nitridation in metal interconnects
10177091, Feb 19 2016 GLOBALFOUNDRIES U S INC Interconnect structure and method of forming
10304695, Oct 06 2016 International Business Machines Corporation Self-formed liner for interconnect structures
10361153, Sep 22 2016 International Business Machines Corporation Surface nitridation in metal interconnects
10468269, Jul 25 2016 ELPIS TECHNOLOGIES INC Interconnect structure and fabrication thereof
10615116, Sep 22 2016 International Business Machines Corporation Surface nitridation in metal interconnects
10714382, Oct 11 2018 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
10896846, Oct 11 2018 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
10916503, Sep 11 2018 International Business Machines Corporation Back end of line metallization structure
10930520, Oct 06 2016 International Business Machines Corporation Self-formed liner for interconnect structures
11133216, Jun 01 2018 International Business Machines Corporation Interconnect structure
11148250, Jan 14 2015 Siltronic AG Method for dressing polishing pads
11794305, Sep 28 2020 Applied Materials, Inc Platen surface modification and high-performance pad conditioning to improve CMP performance
7413986, Jun 19 2001 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
7699972, Mar 08 2006 Applied Materials, Inc. Method and apparatus for evaluating polishing pad conditioning
8165704, May 11 2005 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
8337279, Jun 23 2008 Applied Materials, Inc Closed-loop control for effective pad conditioning
8420531, Jun 21 2011 ALSEPHINA INNOVATIONS INC Enhanced diffusion barrier for interconnect structures
8662956, May 10 2010 SAMSUNG ELECTRONICS CO , LTD ; KCTECH CO , LTD Conditioner of chemical mechanical polishing apparatus
8670857, Feb 02 2010 Applied Materials, Inc Flexible process condition monitoring
8742581, Jun 21 2011 ALSEPHINA INNOVATIONS INC Enhanced diffusion barrier for interconnect structures
9406617, Nov 19 2015 ELPIS TECHNOLOGIES INC Structure and process for W contacts
9721895, Oct 06 2016 International Business Machines Corporation Self-formed liner for interconnect structures
9761484, Jul 25 2016 ELPIS TECHNOLOGIES INC Interconnect structure and fabrication thereof
9768118, Sep 19 2016 International Business Machines Corporation Contact having self-aligned air gap spacers
9773735, Aug 16 2016 International Business Machines Corporation Geometry control in advanced interconnect structures
9786603, Sep 22 2016 International Business Machines Corporation Surface nitridation in metal interconnects
9953864, Aug 30 2016 ELPIS TECHNOLOGIES INC Interconnect structure
Patent Priority Assignee Title
3767900,
3920965,
4207520, Apr 06 1978 The United States of America as represented by the Secretary of the Air Multiple frequency digital eddy current inspection system
4209744, Apr 29 1976 Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
4368510, Oct 20 1980 Leeds & Northrup Company Automatic identification system for self tuning process controller
4609870, Mar 27 1981 HOCKING NDT LIMITED Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
4616308, Nov 15 1983 Shell Oil Company Dynamic process control
4663703, Oct 02 1985 Westinghouse Electric Corp. Predictive model reference adaptive controller
4755753, Jul 23 1986 General Electric Company Eddy current surface mapping system for flaw detection
4796194, Aug 20 1986 Real world modeling and control process
4901218, Aug 12 1987 Renishaw Controls Limited Communications adaptor for automated factory system
4957605, Apr 17 1989 Tokyo Electron Limited Method and apparatus for sputter coating stepped wafers
5089970, Oct 05 1989 Combustion Engineering, Inc. Integrated manufacturing system
5108570, Mar 30 1990 Applied Materials, Inc Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
5220517, Aug 31 1990 SCI SYSTEMS, INC Process gas distribution system and method with supervisory control
5236868, Apr 20 1990 Applied Materials, Inc.; Applied Materials, Inc Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
5240552, Dec 11 1991 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
5260868, Aug 11 1986 TEXAS INSTRUMENTS INCORPORATE Method for calendaring future events in real-time
5295242, Nov 02 1990 CONSILIUM, INC Apparatus and method for viewing relationships in a factory management system
5309221, Dec 31 1991 Corning Incorporated Measurement of fiber diameters with high precision
5329463, Aug 31 1990 SCI Systems, Inc. Process gas distribution system and method with gas cabinet exhaust flow control
5347446, Feb 08 1991 Kabushiki Kaisha Toshiba Model predictive control apparatus
5367624, Jun 11 1993 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
5369544, Apr 05 1993 University of Michigan Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
5398336, Oct 16 1990 Consilium, Inc. Object-oriented architecture for factory floor management
5402367, Jul 19 1993 Texas Instruments Incorporated Apparatus and method for model based process control
5408405, Sep 20 1993 ADVENTA CONTROL TECHNOLOGIES, INC Multi-variable statistical process controller for discrete manufacturing
5410473, Jan 07 1992 Fukuda Denshi Kabushiki Kaisha Method and apparatus for recording electrocardiogram information
5427878, Jun 26 1991 HEWLETT-PACKARD DEVELOPMENT COMPANY, L P Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
5444837, Jan 12 1993 Sextant Avionique Method for structuring information used in an industrial process and its application to aircraft piloting assistance
5490097, Mar 22 1993 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
5495417, Aug 14 1990 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
5497316, Aug 31 1990 SCI Systems, Inc. Process gas distribution system and method
5503707, Sep 22 1993 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
5508947, Aug 31 1990 SCI Systems, Inc. Process gas distribution system and method with automatic transducer zero calibration
5519605, Oct 24 1994 Olin Corporation Model predictive control apparatus and method
5534289, Jan 03 1995 COMPETITIVE TECHNOLOGIES, INC Structural crack monitoring technique
5629216, Jun 30 1994 SEH America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
5657254, Aug 31 1990 SCI Systems, Inc. Process gas distribution system and method with automatic transducer zero calibration
5661669, Dec 17 1993 Texas Instruments Incorporated Method for controlling semiconductor wafer processing
5665214, May 03 1995 Sony Corporation; Sony Electronics INC Automatic film deposition control method and system
5694325, Aug 14 1990 Kabushiki Kaisha Toshiba Semiconductor production system
5695810, Nov 20 1996 Cornell Research Foundation, Inc.; Sematech, Inc.; Intel Corporation Use of cobalt tungsten phosphide as a barrier material for copper metallization
5698989, Oct 06 1994 Applied Materilas, Inc. Film sheet resistance measurement
5719495, Dec 31 1990 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
5740429, Jul 07 1995 Advanced Micro Devices, INC E10 reporting tool
5751582, Sep 24 1996 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
5754297, Jan 28 1994 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
5761065, Mar 30 1995 GLOBALFOUNDRIES Inc Arrangement and method for detecting sequential processing effects in manufacturing
5764543, Jun 16 1995 JDA SOFTWARE GROUP, INC Extensible model network representation system for process planning
5808303, Jan 29 1997 Photon Dynamics, Inc Infrared screening and inspection system
5824599, Jan 16 1996 Cornell Research Foundation, Inc Protected encapsulation of catalytic layer for electroless copper interconnect
5825356, Mar 18 1996 MICRO FOCUS US , INC Help system with semitransparent window for disabling controls
5831851, Mar 21 1995 View, Inc Apparatus and method for controlling high throughput sputtering
5838595, Jul 19 1993 Texas Instruments, Inc. Apparatus and method for model based process control
5838951, Feb 29 1996 AMKOR TECHNOLOGY SINGAPORE HOLDING PTE LTD Wafer map conversion method
5859777, May 14 1996 Toshiba Kikai Kabushiki Kaisha Casting control support system for die casting machines
5862054, Feb 20 1997 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
5867389, Nov 29 1995 SCREEN HOLDINGS CO , LTD Substrate processing management system with recipe copying functions
5871805, Apr 08 1996 Syndia Corporation Computer controlled vapor deposition processes
5883437, Dec 28 1994 PANASONIC LIQUID CRYSTAL DISPLAY CO , LTD Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof
5901313, Mar 01 1991 SAMSUNG ELECTRONICS CO , LTD Application management system
5910011, May 12 1997 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
5912678, Apr 14 1997 Texas Instruments Incorporated Process flow design at the module effects level through the use of acceptability regions
5926690, May 28 1997 LONE STAR SILICON INNOVATIONS LLC Run-to-run control process for controlling critical dimensions
5943550, Mar 29 1996 GLOBALFOUNDRIES Inc Method of processing a semiconductor wafer for controlling drive current
5975994, Jun 11 1997 Round Rock Research, LLC Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
6002989, Apr 02 1996 Hitachi High-Technologies Corporation System for quality control where inspection frequency of inspection apparatus is reset to minimize expected total loss based on derived frequency function and loss value
6012048, May 30 1997 CAPITAL SECURITY SYSTEMS, INC Automated banking system for dispensing money orders, wire transfer and bill payment
6037664, Aug 20 1997 AVAGO TECHNOLOGIES GENERAL IP SINGAPORE PTE LTD Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
6041263, Oct 01 1996 AspenTech Corporation Method and apparatus for simulating and optimizing a plant model
6054379, Feb 11 1998 Applied Materials, Inc.; Applied Materials, Inc Method of depositing a low k dielectric with organo silane
6059636, Jul 11 1997 Tokyo Seimitsu Co., Ltd. Wafer polishing apparatus
6074443, Oct 21 1996 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
6077412, Aug 22 1997 Cutek Research, Inc. Rotating anode for a wafer processing chamber
6093080, May 19 1998 NEC Corporation Polishing apparatus and method
6094688, Jan 08 1997 International Business Machines Corporation Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
6096649, Oct 25 1999 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
6100195, Dec 28 1998 Chartered Semiconductor Manu. Ltd.; National University of Singapore; Nahyang Techn. Univ. of Singapore; Institute of Microelectronics Passivation of copper interconnect surfaces with a passivating metal layer
6111634, May 28 1997 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
6113462, Dec 18 1997 GLOBALFOUNDRIES Inc Feedback loop for selective conditioning of chemical mechanical polishing pad
6114238, Jan 26 1998 United Microelectronics Corp Self-aligned metal nitride for copper passivation
6128016, Dec 20 1996 NEC Corporation Graphic user interface for managing a server system
6150270, Jan 07 1998 TOSHIBA MEMORY CORPORATION Method for forming barrier layer for copper metallization
6157864, May 08 1998 Rockwell Technologies, LLC System, method and article of manufacture for displaying an animated, realtime updated control sequence chart
6181013, Jun 25 1999 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3Ge or Cu5Si for passivation of damascene copper structures and device manufactured thereby
6212961, Feb 11 1999 Nova Measuring Instruments Ltd.; NOVA MEASURING INSTRUMENTS LTD Buffer system for a wafer handling system
6219711, May 13 1997 Round Rock Research, LLC Synchronous communication interface
6226563, Jan 14 1998 Samsung Electronics Co., Ltd. Method for controlling unit process conditions of semiconductor fabricating equipment arranged in a processing line
6228280, May 06 1998 GLOBALFOUNDRIES Inc Endpoint detection by chemical reaction and reagent
6230069, Jun 26 1998 Advanced Micro Devices System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
6237050, Dec 26 1997 Samsung Electronics Co., Ltd. Method for controlling components of semiconductor fabricating equipment arranged in a processing line
6245581, Apr 19 2000 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
6249712, Sep 26 1995 Adaptive control process and system
6259160, Apr 21 1999 GLOBALFOUNDRIES Inc Apparatus and method of encapsulated copper (Cu) Interconnect formation
6268270, Apr 30 1999 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
6271670, Feb 09 1998 National Technology & Engineering Solutions of Sandia, LLC Method and apparatus for detecting external cracks from within a metal tube
6277014, Oct 09 1998 Applied Materials, Inc Carrier head with a flexible membrane for chemical mechanical polishing
6278899, May 06 1996 ROCKWELL AUTOMATION TECHNOLOGIES, INC Method for on-line optimization of a plant
6281127, Apr 15 1999 Taiwan Semiconductor Manufacturing Company Self-passivation procedure for a copper damascene structure
6291367, Jun 01 2000 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
6317643, Mar 31 1999 Bell Semiconductor, LLC Manufacturing and engineering data base
6339727, Dec 21 1998 FRITO-LAY NORTH AMERICA, INC Apparatus and method for controlling distribution of product in manufacturing process
6340602, Dec 10 1999 THERMA-WAVE, INC ; Tokyo Electron Limited Method of measuring meso-scale structures on wafers
6345288, Aug 31 1989 OneName Corporation Computer-based communication system and method using metadata defining a control-structure
6346426, Nov 17 2000 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
6355559, Nov 18 1999 Texas Instruments Incorporated Passivation of inlaid metallization
6363294, Dec 30 1997 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
6368879, Sep 22 1999 GLOBALFOUNDRIES Inc Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
6381564, May 28 1998 Texas Instruments Incorporated Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators
6391780, Aug 23 1999 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
6400162, Jul 21 2000 MicroSense, LLC Capacitive displacement sensor for measuring thin targets
6417014, Oct 19 1999 Advanced Micro Devices, Inc. Method and apparatus for reducing wafer to wafer deposition variation
6427093, Oct 07 1999 GLOBALFOUNDRIES Inc Method and apparatus for optimal wafer-by-wafer processing
6432728, Oct 16 2000 ProMOS Technologies, Inc. Method for integration optimization by chemical mechanical planarization end-pointing technique
6442496, Aug 08 2000 GLOBALFOUNDRIES Inc Method and apparatus for dynamic sampling of a production line
6449524, Jan 04 2000 GLOBALFOUNDRIES Inc Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
6455415, Apr 21 1999 GLOBALFOUNDRIES Inc Method of encapsulated copper (Cu) interconnect formation
6465263, Jan 04 2000 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
6470230, Jan 04 2000 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
6482660, Mar 19 2001 GLOBALFOUNDRIES U S INC Effective channel length control using ion implant feed forward
6484064, Oct 05 1999 Advanced Micro Devices, Inc. Method and apparatus for running metrology standard wafer routes for cross-fab metrology calibration
6486492, Jun 29 1999 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
6492281, Sep 22 2000 GLOBALFOUNDRIES Inc Method of fabricating conductor structures with metal comb bridging avoidance
6495452, Aug 18 1999 Taiwan Semiconductor Manufacturing Company Method to reduce capacitance for copper interconnect structures
6515368, Dec 07 2001 GLOBALFOUNDRIES U S INC Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
6517414, Mar 10 2000 Applied Materials, Inc Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
6528409, Apr 29 2002 GLOBALFOUNDRIES U S INC Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
6529789, Jun 17 1999 GLOBALFOUNDRIES Inc Method and apparatus for automatic routing for reentrant processes
6532555, Oct 29 1999 GLOBALFOUNDRIES Inc Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
6535783, Mar 05 2001 FULLBRITE CAPITAL PARTNERS Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
6537912, Aug 25 2000 Round Rock Research, LLC Method of forming an encapsulated conductive pillar
6540591, Apr 18 2001 GLOBALFOUNDRIES Inc Method and apparatus for post-polish thickness and uniformity control
6541401, Jul 31 2000 Applied Materials, Inc Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
6546508, Oct 29 1999 VANTAGE MICRO LLC Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
6556881, Sep 09 1999 GLOBALFOUNDRIES Inc Method and apparatus for integrating near real-time fault detection in an APC framework
6560504, Sep 29 1999 GLOBALFOUNDRIES Inc Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
6563308, Mar 28 2000 TOSHIBA MEMORY CORPORATION Eddy current loss measuring sensor, thickness measuring system, thickness measuring method, and recorded medium
6567717, Jan 19 2000 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
6580958, Nov 25 1998 Canon Kabushiki Kaisha Semiconductor manufacturing apparatus and device manufacturing method
6587744, Jun 22 1999 Applied Materials, Inc Run-to-run controller for use in microelectronic fabrication
6590179, Sep 22 2000 Hitachi, Ltd. Plasma processing apparatus and method
6604012, Oct 23 1999 Samsung Electronics Co., Ltd. Lots dispatching method for variably arranging processing equipment and/or processing conditions in a succeeding process according to the results of a preceding process and apparatus for the same
6605549, Sep 29 2001 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
6607976, Sep 25 2001 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
6609946, Jul 14 2000 GLOBALFOUNDRIES Inc Method and system for polishing a semiconductor wafer
6616513, Apr 07 2000 Applied Materials, Inc Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
6618692, Sep 20 2000 Hitachi, Ltd. Remote diagnostic system and method for semiconductor manufacturing equipment
6624075, Dec 07 2001 GLOBALFOUNDRIES U S INC Method of reducing electromigration in a copper line by Zinc-Doping of a copper surface from an electroplated copper-zinc alloy thin film and a semiconductor device thereby formed
6625497, Nov 20 2000 Applied Materials, Inc Semiconductor processing module with integrated feedback/feed forward metrology
6630741, Dec 07 2001 GLOBALFOUNDRIES U S INC Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed
6640151, Dec 22 1999 Applied Materials, Inc Multi-tool control system, method and medium
6652355, Nov 02 1998 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
6660633, Feb 26 2002 GLOBALFOUNDRIES U S INC Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed
6678570, Jun 26 2001 GLOBALFOUNDRIES U S INC Method and apparatus for determining output characteristics using tool state data
6708074, Aug 11 2000 Applied Materials, Inc Generic interface builder
6708075, Nov 16 2001 GLOBALFOUNDRIES U S INC Method and apparatus for utilizing integrated metrology data as feed-forward data
6725402, Jul 31 2000 OCEAN SEMICONDUCTOR LLC Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
6728587, Dec 27 2000 ADA ANALYTICS ISRAEL LTD Method for global automated process control
6735492, Jul 19 2002 GOOGLE LLC Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
6751518, Apr 29 2002 GLOBALFOUNDRIES U S INC Dynamic process state adjustment of a processing tool to reduce non-uniformity
6774998, Dec 27 2001 GLOBALFOUNDRIES U S INC Method and apparatus for identifying misregistration in a complimentary phase shift mask process
20010006873,
20010039462,
20010040997,
20010044667,
20020077031,
20020107604,
20020128805,
20020165636,
20020183986,
20020193899,
20030017256,
20030154062,
CA2050247,
CA2165847,
CA2194855,
EP397924,
EP877308,
EP932195,
EP1067757,
EP1072967,
EP1083470,
GB2365215,
JP1167853,
JP1283934,
JP5151231,
JP5216896,
JP5266029,
JP6110894,
JP6176994,
JP6252236,
JP6260380,
JP8149583,
JP934535,
WO1080306,
WO111679,
WO133277,
WO152319,
WO231613,
WO9959200,
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Apr 29 2005Applied Materials, Inc.(assignment on the face of the patent)
Date Maintenance Fee Events
Sep 28 2009M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Oct 11 2013M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Dec 18 2017REM: Maintenance Fee Reminder Mailed.
Jun 04 2018EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
May 09 20094 years fee payment window open
Nov 09 20096 months grace period start (w surcharge)
May 09 2010patent expiry (for year 4)
May 09 20122 years to revive unintentionally abandoned end. (for year 4)
May 09 20138 years fee payment window open
Nov 09 20136 months grace period start (w surcharge)
May 09 2014patent expiry (for year 8)
May 09 20162 years to revive unintentionally abandoned end. (for year 8)
May 09 201712 years fee payment window open
Nov 09 20176 months grace period start (w surcharge)
May 09 2018patent expiry (for year 12)
May 09 20202 years to revive unintentionally abandoned end. (for year 12)