Mixed metal aluminum nitride and boride diffusion barriers and electrodes for integrated circuits, particularly for DRAM cell capacitors. Also provided are methods for CVD deposition of mxAlyNzBw alloy diffusion barriers, wherein m is Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, or W; x is greater than zero; y is greater than or equal to zero; the sum of z and w is greater than zero; and wherein when y is zero, z and w are both greater than zero.

Patent
   7101779
Priority
Mar 16 1999
Filed
Aug 19 2003
Issued
Sep 05 2006
Expiry
Mar 31 2019
Extension
15 days
Assg.orig
Entity
Large
8
51
EXPIRED
1. A method of depositing an amorphous alloy comprising a first metal, aluminum, nitrogen and boron on an object, comprising the steps of:
placing said object within a chemical vapor deposition chamber; and
injecting gaseous precursors of said first metal, aluminum, nitrogen and boron into said chamber, wherein each of said gaseous precursors is transferred from a respective bubbler, each said respective bubbler and said chamber being at about a same pressure.
13. A method of depositing a generally conformal layer comprising a first metal, aluminum, nitrogen and boron on a semiconductor wafer, comprising the steps of:
providing a chemical vapor deposition reactor;
placing said wafer within said reactor;
heating said wafer to a selected processing temperature of from about 250 to about 550° C.;
establishing a pressure of 100 millitorr to 10 torr within said reactor;
injecting a selected quantity of a gaseous organometallic precursor from a first bubbler into said reactor;
injecting a selected quantity of an aluminum precursor from a second bubbler into said reactor, said first bubbler and said second bubbler being at a pressure substantially the same as that within said reactor; and
depositing said first metal, aluminum, nitrogen, and boron as a layer comprising mxAlyNzBw, wherein m is said first metal, x, y and z are each greater than zero, and w is between about 0.35 and about 1.4.
2. The method of claim 1, wherein said metal precursor is titanium and a single gas serves as said metal precursor and said nitrogen precursor.
3. The method of claim 2, wherein said metal and nitrogen precursor is Ti(N(CH3)2)4.
4. The method of claim 1, wherein said wafer is heated to a temperature of approximately 250–550° C.
5. The method of claim 2, wherein said metal and nitrogen precursor is of the formula Ti(NR2)4, where R is selected from the group consisting of one or more of hydrogen, an alkyl group and an aryl group.
6. The method of claim 1, wherein said aluminum precursor is selected from the group consisting of DMEAA, dimethylaluminumhydride ethyldimethylamine adduct, dimethyl aluminum hydride, an alkyl aluminum compound, an alkylaminealuminum compound, and any adducted complexes of the above-named aluminum-containing compounds.
7. The method of claim 1, wherein said selected metal precursor is selected from the group consisting of tetrakisdiethylamidotitanium, bis(2,4-dimethyl)(1,3-pentadienyl)titanium, titanium tetrachloride, titanium tetrabromide, titanium tetraiodide, and cyclopentadienylcycloheptatrienyltitanium.
8. The method of claim 1 wherein said metal precursor is selected from the group consisting of metal halide compounds and organometallic compounds.
9. The method of claim 1 wherein said boron precursor is a boron reactant gas.
10. The method of claim 1 wherein said nitrogen precursor is a nitrogen reactant gas.
11. The method of claim 1, wherein said amorphous alloy is deposited as a layer comprising mxAlyNzBw, wherein m is said first metal, x, y and z are each greater than zero, and w is between about 0.35 and about 1.4.
12. The method of claim 1, wherein said precursors are introduced into said chamber substantially simultaneously.
14. The method of claim 13, wherein said aluminum precursor is selected from the group consisting of DMEAA, dimethylaluminumhydride ethyldiinethylamine adduct, dimethylaluminum hydride, an alkyl aluminum compound, an alkylaminealuminum compound, and adducted complexes of any of the above-named aluminum-containing compounds.
15. The method of claim 13, wherein said first metal is titanium and is deposited from a titanium precursor selected from the group consisting of tetrakisdiethylamidotitanium, bis(2,4-dimethyl)(1,3-pentadienyl)titanium, titanium tetrachloride, titanium tetrabromide, titanium tetraiodide, cyclopentadienylcycloheptatrienyltitanium, and a precursor of the formula Ti(NR2), where R is selected from the group consisting of one or more of hydrogen, an alkyl group and an aryl group.
16. The method of claim 13, wherein said organometallic precursor comprises titanium and nitrogen.
17. The method of claim 16, wherein said organometallic precursor is Ti(N(CH3)2)4.
18. The method of claim 16, wherein said organometallic precursor is of the formula Ti(NR2)4, where R is selected from the group consisting of one or more of hydrogen, an alkyl group and an aryl group.
19. The method of claim 13, wherein said aluminum precursor is selected from the group consisting of DMEAA, dimethylaluminumhydride ethyldimethylamine adduct, dimethyl aluminum hydride, an alkyl aluminum compound, an alkylaminealuminum compound, and any adducted complexes of the above-named aluminum-containing compounds.
20. The method of claim 13, wherein said selected organometallic precursor is selected from the group consisting of tetrakisdiethylamidotitanium, bis(2,4-dimethyl)(1,3-pentadienyl)titanium, titanium tetrachloride, titanium tetrabromide, titanium tetraiodide, and cyclopentadienylcycloheptatrienyltitanium.
21. The method of claim 13 wherein said organometallic precursor is selected from the group consisting of metal halide compounds and organometallic compounds.
22. The method of claim 13 wherein said boron is included in said generally conformal layer utilizing a boron reactant gas.
23. The method of claim 13 wherein said nitrogen is included in said generally conformal layer utilizing a nitrogen reactant gas.
24. The method of claim 13, wherein said precursors are introduced into said reactor substantially simultaneously.

This application is a divisional of U.S. patent application Ser. No. 10/185,009, entitled METHOD OF FORMING BARRIER LAYERS, filed Jul. 1, 2002, now U.S. Pat. No. 6,664,159, which is a divisional of U.S. patent application Ser. No. 09/268,326, entitled MIXED METAL NITRIDE AND BORIDE BARRIER LAYERS, filed Mar. 16, 1999, now U.S. Pat. No. 6,445,023. The entirety of these references are hereby incorporated by reference.

The invention relates generally to integrated circuits and more particularly to the use of amorphous ternary aluminum nitride and boride alloy materials for diffusion barrier layers in such circuits.

In semiconductor devices, it is common for the design to require interfaces of silicon and a metal such as aluminum or tungsten. For example, aluminum and tungsten are commonly used as the material of choice for electrical contacts, which contacts interface with electrically active areas made of doped silicon. It is also common in the fabrication of semiconductor devices to anneal the devices at elevated temperatures, such as 500° C. At these temperatures, the metal and silicon will rapidly interdiffuse into each other at the interface. Even at room temperature, the metal and silicon will interdiffuse over time. Such interdiffusion changes the semiconductive properties of the silicon and causes defective devices.

Capacitors are used in a wide variety of integrated circuits and present special interdiffusion concerns. Capacitors are of particular concern in DRAM (dynamic random access memory) circuits. The electrodes in a DRAM cell capacitor must protect the dielectric film (e.g., Ta2O5 and (Ba, Sr)TiO3) from interaction with surrounding materials and from the harsh thermal processing encountered in subsequent steps of DRAM process flow. In order to function well as a bottom electrode, the electrode film or film stack must act as an effective barrier to the diffusion of oxygen and silicon. Oxidation of the underlying Si results in decreased series capacitance, thus degrading the capacitor.

It is common practice to provide diffusion barriers in semiconductor devices. A thin film of titanium nitride (TiN) or titanium tungsten (TiW) is conventionally used as diffusion barrier. Conventional barrier materials, however, tend to be polycrystalline with grain boundaries through which diffusion of Si and O atomic species can occur.

The conventional diffusion barriers for silicon/metal interfaces and capacitor dielectrics, while generally relatively effective at room temperature, can fail at more elevated temperatures. Many preferred semiconductor fabrication processes, such as deposition, reflow, and annealing, require elevated temperatures. Thus conventional diffusion barriers can create limits on the processes that can be used to fabricate a semiconductor device. There is a need for a diffusion barrier that is more effective than conventional polycrystalline barriers, especially at elevated temperatures.

What is needed are improved diffusion barrier layers and fabrication methods that offer a combination of good conformality, high conductivity, and excellent barrier properties for protecting against interdiffusion at capacitor dielectrics and silicon/metal interfaces in semiconductor devices, particularly during high temperature fabrication processes.

The present invention provides mixed-metal nitride, boride and boride-nitride alloy barrier layers of the formula MxAlyNzBw, wherein M is Ti, Zr, Hf, V, Nb, Ta, Cr, Mo, or W; x is greater than zero; y is greater than or equal to zero; the sum of z and w is greater than zero; and wherein when y is zero, z and w are both greater than zero, and when M is Ti, w is greater than 0.

The preferred metals (M) are Ti, Zr, Hf, Ta, Nb, Mo and W. Preferably, when M is Ti, Zr, Hf, Ta, or Nb, x+y=1, and z+w/2=1; and when M is Mo or W, x+y=1, and z+2w=1. Most preferably, M is Ti, Zr, Hf, Ta, or Nb and MxAlyNzBw has the formula M0.7Al0.3N0.3B1.4, or M is Mo, or W, and MxAlyNzBw has the formula M0.7Al0.3N0.3B0.35.

The invention also provides semiconductor capacitors and methods for fabricating capacitors and other devices containing MxAlyNzBw barrier layers in order to protect capacitor cell dielectrics, such as SiO2, Ta2O5, SrTiO3 (“ST”), (Ba, Sr)TiO3 (“BST”), Pb(Z,Ti)O3 (“PZT”), SrBi2Ta2O9 (“SBT”) and Ba(Zr, Ti)O3 (“BZT”).

The mixed-metal nitride and boride layers of the invention provide excellent barrier protection, conductivity as capacitor electrodes, and conformality, and so may be employed either as capacitor electrodes, or as separate barrier layers formed adjacent to conventional capacitor electrodes, either atop these electrodes or interposed between the electrode and the capacitor dielectric. Preferably, the MxAlyNzBw layer according to the invention comprises a thin barrier film between a cell dielectric and an underlying polysilicon (poly) plug or drain in a DRAM cell array, as well as acting as a lower electrode.

The barrier layers and methods of the invention are also useful in any device containing a Si/metal interface, and any other semiconductor device where protection against degradation through diffusion and thermal effects is desired.

FIG. 1 is a schematic of a chemical vapor deposition system suitable for use in the method of the present invention.

FIG. 2 is a schematic of an alternative chemical vapor deposition system suitable for use in the method of the present invention.

FIG. 3 is a diagrammatic cross-sectional view taken along a portion of a semiconductor wafer at an early processing step according to one embodiment of the present invention.

FIG. 4 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 3.

FIG. 5 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 4.

FIG. 6 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 5.

FIG. 7 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 6.

FIG. 8 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 7.

FIG. 9 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 8.

FIG. 10 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 9.

FIG. 11 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 10.

FIG. 12 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 11.

FIG. 13 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 12.

FIG. 14 is a diagrammatic cross-sectional view taken along a portion of a semiconductor wafer at a processing step according to another embodiment of the present invention.

FIG. 15 is a diagrammatic cross-sectional view taken along a portion of a semiconductor wafer at processing step according to still another embodiment of the present invention.

FIG. 16 is a diagrammatic cross-sectional view taken along a portion of a semiconductor wafer at processing step according to yet a further embodiment of the present invention.

FIG. 17 is a diagrammatic cross-sectional view of a portion of a semiconductor wafer at a processing step subsequent to that shown in FIG. 16.

The mixed metal boride, nitride, and boride-nitride barrier materials of the invention generally have the formula MxAlyNzBw, wherein M is Ti, Zr, Hf, V, Nb, Ta, Cr, Mo or W, and x, y, z, and w are any suitable value such that x is greater than zero; y is greater than or equal to zero; the sum of z and w is greater than zero; and wherein when y is zero, z and w are both greater than zero, and when M is Ti, w is greater than 0. The preferred metals (“M”) are Ti, Zr, Hf, Ta, Nb, Mo and W. Preferably, when M is Ti, Zr, Hf, Ta, or Nb, x+y=1, and z+w/2=1, and when M is Mo or W, x+y=1, and z+2w=1. Most preferably, M is Ti, Zr, Hf, Ta, or Nb and MxAlyNzBw has the formula M0.7Al0.3N0.3B1.4, or M is Mo, or W, and MxAlyNzBw has the formula M0.7Al0.3N0.3B0.35.

The MxAlyNzBw barrier material can be deposited by a sputter process from metal nitride and metal boride targets, or sputtered from metal targets in the presence of boron and nitrogen containing gases, such as diborane, ammonia and nitrogen. The barrier material can also be deposited by chemical vapor deposition utilizing a volatile source for M and Al and a reactive gas source for N and B. Examples of metal sources for Al deposition include, such as, dimethylaluminumhydride (DMAH) and triethylaluminum (TEAL). Sources for M include any metal halide or organometallic compound suitable for a CVD process. The MxAlyNzBw barrier material can also be deposited by liquid spin-on or dip coat processes utilizing a metalorganic solution that is baked and annealed after application. Chemical vapor deposition techniques are preferred, because they generally are more suitable for deposition on semiconductor substrates or substrate assemblies, particularly in contact openings which are extremely small and require conformally filled layers.

The methods of the present invention can be used to deposit a barrier material film on a variety of substrates, such as a semiconductor wafer (e.g., silicon wafer, gallium arsenide wafer, etc.), glass plate, etc., and on a variety of surfaces of the substrates, whether it be directly on the substrate itself or on a layer of material deposited on the substrate as in a semiconductor substrate assembly. Metal and other components of the barrier film may be deposited from either a volatile liquid, a sublimable solid, or a solid that is soluble in a suitable solvent that is not detrimental to the substrate, and other layers thereon. Preferably, however, solvents are not used; rather, the metal components are liquid and used neat. Methods of the present invention preferably utilize vapor deposition techniques, such as flash vaporization, bubbling, etc.

A typical chemical vapor deposition (CVD) system that can be used to perform the process of the present invention is shown in FIG. 1. The system includes an enclosed chemical vapor deposition chamber 210, which may be a cold wall-type CVD reactor. As is conventional, the CVD process may be carried out at pressures of from atmospheric pressure down to about 10−3 torr, and preferably from about 10 torr to about 0.1 torr. A vacuum may be created in chamber 210 using turbo pump 212 and backing pump 214.

One or more substrates 216 (e.g., semiconductor substrates or substrate assemblies) are positioned in chamber 210. A constant nominal temperature is established for the substrate, preferably at a temperature of about 100° C. to about 600° C., and more preferably at a temperature of about 250° C. to about 550° C. Substrate 216 may be heated, for example, by an electrical resistance heater 218 on which substrate 216 is mounted. Other known methods of heating the substrate may also be utilized.

In this process, the precursor composition 240, which contains one or more metal or metalloid complexes, is stored in liquid form (a neat liquid at room temperature or at an elevated temperature if solid at room temperature) in vessel 242. A source 244 of a suitable inert gas is pumped into vessel 242 and bubbled through the neat liquid (i.e., without solvent) picking up the precursor composition and carrying it into chamber 210 through line 245 and gas distributor 246. Additional inert carrier gas or reaction gas may be supplied from source 248 as needed to provide the desired concentration of precursor composition and regulate the uniformity of the deposition across the surface of substrate 216. As shown, a series of valves 250254 are opened and closed as required.

Generally, the precursor composition is pumped into the CVD chamber 210 at a flow rate of about 1 sccm (standard cubic centimeters) to about 1000 sccm. The semiconductor substrate is exposed to the precursor composition at a pressure of about 0.001 torr to about 100 torr for a time of about 0.01 minute to about 100 minutes. In chamber 210, the precursor composition will form an adsorbed layer on the surface of the substrate 216. As the deposition rate is temperature dependent, increasing the temperature of the substrate will increase the rate of deposition. Typical deposition rates are about 10 Angstroms/minute to about 1000 Angstroms/minute. The carrier gas containing the precursor composition is terminated by dosing valve 253.

An alternative CVD system that can be used to perform the mixed metal nitride and boride CVD process of the present invention is shown in FIG. 2. The system includes an enclosed chemical vapor deposition chamber 210, which may be a cold wall-type CVD reactor, in which a vacuum may be created using turbo pump 212 and backing pump 214. One or more substrates 216 (e.g., semiconductor substrates or substrate assemblies) are positioned in chamber 210. Substrate 216 may be heated as described with reference to FIG. 1 (for example, by an electrical resistance heater 218).

In this process, one or more solutions 260 of one or more precursor metal or metalloid complexes are stored in vessels 262. The solutions are transferred to a mixing manifold 264 using pumps 266. The resultant precursor compositions containing one or more precursor complexes and one or more organic solvents is then transferred along line 268 to vaporizer 270, to volatilize the precursor composition. A source 274 of a suitable inert gas is pumped into vaporizer 270 for carrying a volatilized precursor composition into chamber 210 through line 275 and gas distributor 276. Reaction gas may be supplied from source 278 as needed. As shown, a series of valves 280285 are opened and closed as required. Similar pressures and temperatures to those described with reference to FIG. 1 can be used.

Various combinations of carrier gases and/or reaction gases can be used in certain methods of the present invention. They can be introduced into the chemical vapor deposition chamber in a variety of manners, such as directly into the vaporization chamber or in combination with the precursor composition. Although specific vapor deposition processes are described by reference to FIGS. 1–2, methods of the present invention are not limited to being used with the specific vapor deposition systems shown. Various CVD process chambers or reaction chambers can be used, including hot wall or cold wall reactors, atmospheric or reduced pressure reactors, as well as plasma enhanced reactors.

The use of the mixed metal nitride and boride materials and methods of forming layers and films of the present invention are beneficial for a wide variety of applications in semiconductor structures, particularly those using high dielectric materials or ferroelectric materials. Such applications include capacitors such as planar cells, trench cells (e.g., double sidewall trench capacitors), stacked cells (e.g., crown, V-cell, delta cell, multi-fingered, or cylindrical container stacked capacitors), as well as field effect transistor devices, and any semiconductor device having a silicon-metal interface.

Examples of fabrication processes for capacitors and other semiconductor devices containing mixed metal nitride, boride and nitride-boride barrier layers of the formula MxAlyNzBw are described below. It is to be understood, however, that these processes are only examples of many possible configurations and processes utilizing the barriers or electrodes of the invention. For example, in the DRAM cell stacked container capacitor process described next, a mixed metal nitride and boride material is utilized as a barrier below the bottom electrode of a capacitor. Alternatively, the top electrode may also include a barrier material. The invention is not intended to be limited by the particular processes described below.

Referring to FIG. 3, a typical semiconductor wafer fragment at an early processing step is indicated generally by reference numeral 100. The semiconductor wafer 100 is comprised of a bulk silicon substrate 112 with field isolation oxide regions 114 and active areas 116, 118, 120. Word lines 122, 124, 126, 128 have been constructed on the wafer 100 in a conventional manner. Each word line consisting of a lower gate oxide 130, a lower poly layer 132, a higher conductivity silicide layer 134 and an insulating silicon nitride cap 136. Each word line has also been provided with insulating spacers 138, also of silicon nitride.

Two FETs are depicted in FIG. 3. One FET is comprised of two active areas (source/drain) 116, 118 and one word line (gate) 124. The second FET is comprised of two active areas (source/drain) 118, 120 and a second word line (gate) 126. The active area 118 common to both FETs is the active area over which a bit line contact will be formed.

Referring to FIG. 4, a thin layer 140 of nitride or TEOS is provided atop the wafer 100. Next a layer of insulating material 142 is deposited. The insulating material preferably consists of borophosphosilicate glass (BPSG). The insulating layer 142 is subsequently planarized by chemical-mechanical polishing (CMP).

Referring to FIG. 5, a bit line contact opening 144 and capacitor openings 146 have been formed through the insulating layer 142. The openings 144, 146 are formed through the insulating layer 142 by photomasking and dry chemical etching the BPSG relative to the thin nitride or TEOS layer 140. Referring now to FIG. 6, a layer 150 of conductive material is deposited to provide conductive material within the bit line contact and capacitor openings 144, 146. The conductive layer 150 is in contact with the active areas 116, 118, 120. An example of the material used to form layer 150 is in situ arsenic or phosphorous doped poly. Referring now to FIG. 7, the conductive layer 150 is etched away to the point that the only remaining material forms plugs 150 over the active areas 116, 118, 120.

Referring now to FIG. 8, a thin barrier film 151 of a mixed metal boride, nitride or boride-nitride of the formula MxAlyNzBw as defined above is formed as a barrier layer atop conductive layer 150. Barrier film 151 is preferably deposited by CVD to form a conformal layer which protects the subsequently deposited capacitor dielectric against diffusion from underlying plug 150 and other surrounding materials. Perhaps more importantly for some applications of the invention, barrier film 151 also protects the underlying plug 150 from diffusion of oxygen from the capacitor dielectric.

The preferred method for depositing barrier layer 15, includes positioning the wafer assembly within a vacuum CVD reactor chamber. In one preferred implementation, the CVD reactor will be a cold wall reactor. Preferably, the substrate will be heated by a resistive-type ceramic heater to a temperature of 250–550° C. Hydrogen gas will inlet to the chamber at a rate of approximately 50–500 sccm. Subsequently, the metal (M), aluminum, nitrogen, and boron precursors will be admitted into the CVD chamber. Preferably, if the metal (M) is Ti, the titanium precursor will be of the formula Ti(NR2)4, where R is selected from the group consisting of H an alkyl and/or aryl group. However, any suitable organometallic or inorganic titanium containing source may be substituted for Ti(NR2)4. In such case, the same gas will serve as both the titanium and nitrogen precursor. Most preferably, the titanium precursor will be a titanium organometallic precursor, having the formula Ti(N(CH3)2)4, which is commonly referred to as TDMAT. The aluminum precursor will preferably be dimethylethylaminealane, commonly known as DMEAA. However, any suitable organometallic or inorganic aluminum containing source may be substituted for DMEAA Both of these reaction precursors are liquids at room temperature, and must be bubbled with helium, or otherwise vaporized, such as through injection into a vaporizer to facilitate transport as gases into the CVD chamber for deposition on the substrate. Sources as precursors for Ti, Zr, Hf, V, Nb, Ta, Cr, Mo and W, can be any suitable metal halide or organometallic compound containing Ti, Zr, Hf, V, Nb, Ta, Cr, Mo or W.

In a particularly preferred CVD process according to the present invention, a bubbler will be used for each precursor, and each bubbler will be held at the same pressure as that within the CVD chamber. A TDMAT bubbler will preferably be maintained at a temperature of 35–55° C., with helium being flowed through at a rate of approximately 20–200 sccm. Simultaneously, the DMEAA vessel will be maintained at a temperature of approximately 5–30° C., with the helium passed through at a rate of 10–100 sccm. Other systems may be utilized for introducing one or more precursors into the CVD chamber. For example, in addition to the use of a vaporizer or evaporator, a precursor may be introduced into the CVD chamber by direct liquid injection. Additionally, although the use of gaseous precursors is currently preferred, precursors may be introduced in vapor or liquid form, such as in liquid source CVD (LSCVD) operations.

When deposition is to occur, the precursors are bubbled into the CVD chamber, and are mixed in a gas distributor, such as a gas “showerhead”, and are directed onto the heated substrate. Deposition may be continued for the desired period of time. The metal, aluminum, nitrogen, and boron will thus preferably be deposited generally simultaneously, as an amorphous material. In one exemplary implementation, where the MxAlyNzBw will be deposited upon a wafer, the deposition may continue for approximately 3–10 minutes, after which time the gas flows will be stopped, and the substrate allowed to cool.

Although the DMEAA precursor gas is described relative to the exemplary embodiment above, an aluminum precursor, aluminum alkyls or alkyl hydride compounds, or their Lewis-based adducts may also be utilized in their place. Other examples of acceptable aluminum precursors can be, but are not limited to, trimethylaluminum, tris(dimethylamino)aluminum, trimethylaminealane, dimethylalane, or (dimethylethylamine)dimethylalane.

Other examples of the exemplary products for titanium precursors are, but are not limited to: the ethyl analog of TDMAT, tetrakisdiethylamidotitanium (also conventionally known as (TDEAT); bis(2,4-dimethyl-1,3-pentadienyl)titanium (also conventionally known as BDPT); titanium tetrachloride; titanium tetrabromide; titanium tetraiodide; and cyclopentadienylcycloheptatrienyltitanium (also conventionally known as CpTiChT). Examples of exemplary products for other metal precursors include CpZr(BH4)2, CpHf(BH4)2, TaCl, WF6, and MoF6,

Examples of reactive gas sources for nitrogen and boron include, but are not limited to NF3, N2 (especially with plasma assist), NH3, hydrazine, methyl hydrazine, B2H6, and BCl3.

Following chemical vapor deposition of a mixed metal nitride and boride barrier film 151, a layer 152 of conductive material that will eventually form one of the electrodes of the capacitor is deposited at a thickness such that the capacitor openings 144, 146 are not closed off. Referring to FIG. 9, the layer 152 may be formed of various refractive metals, conductive metal oxides, metal nitrides, noble metals and may include, such as, Pt, Rh, Ir, Ru, Os, Pd, IrO2, RhO2, RuO2, Ta, TiN, TaN, Ti and others. The conductive layer 152 is in electrical contact with the previously formed plugs 150 or, as previously mentioned, the MxAlyNzBw layer will itself be the lower electrode.

Referring to FIG. 10, the portion of the conductive layer 152 above the top of the BPSG layer 142 is removed through a planarized etching process, thereby electrically isolating the portions of layer 152 remaining in the bit line contact and capacitor openings 144, 146. Referring now to FIG. 11, a capacitor dielectric layer 154 is provided over conductive layer 152 and capacitor openings 144, 146.

Dielectric layer 154 is deposited with a thickness such that the openings 146 are again not completely filled. Dielectric layer 154 may comprise tantalum pentoxide (Ta2O5). Other suitable dielectric materials such as Strontium Titanate (ST), Barium Strontium Titanate (BST), Lead Zirconium Titanate (PZT), Strontium Bismuth Tantalate (SBT) and Bismuth Zirconium Titanate (BZT) may also be used. Dielectric layer 154 may be deposited by a low-pressure CVD process using Ta(OC2H5)5 and O2 at about 430° C., and may be subsequently annealed in order to reduce leakage current characteristics.

A second conductive electrode layer 156 is then deposited by CVD over the dielectric layer 154, again at a thickness which less than completely fills the capacitor openings 146. The second conductive layer 156 may be comprised of TiN, Pt, or other conventional electrode materials, such as many of those previously described for use as conductive layer 152. In addition to serving as the top electrode or second plate of the capacitor, the second conductive layer 156 also forms the interconnection lines between the second plates of all capacitors.

Referring to FIG. 12, the second conductive layer 156 and underlying capacitor dielectric layer 154 are patterned and etched such that the remaining portions of each group of the first conductive layer 152, capacitor dielectric layer 154, and second conductive layer 156 over the bit line contact and capacitor openings 144, 146 are electrically isolated from each other. In this manner, each of the active areas 116, 118, 120 are also electrically isolated (without the influence of the gate). Furthermore, a portion of the first conductive layer 152 in contact with the plug 150 over the bit line active area 118 is outwardly exposed.

Referring now to FIG. 12, a bit line insulating layer 158 is provided over the second conductive layer 156 and into the bit line contact opening 144. The bit line insulating layer 158 is preferably comprised of BPSG. The BPSG is typically reflowed by conventional techniques, i.e., heating to about 800° C. Other insulating layers such as PSG, or other compositions of doped SiO2 may similarly be employed as the insulating layer 158.

Referring to FIG. 13, a bit line contact opening 160 is patterned through the bit line insulating layer 158 such that the barrier film 151 above plug conductive layer 150 is once again outwardly exposed. Then a bit line contact is provided in the bit line contact opening 160 such that the bit line contact is in electrical contact with the outwardly exposed portion of the barrier film 151 above conductive plug layer 150. Thus, the plug 150 over the active area 118 common to both FETs acts as a bit line contact. The DRAM array and associated circuitry may then be completed by a variety of well established techniques, such as metalization, and attachment to peripheral circuitry.

Another specific example of where a film formed from the MxAlyNxBw materials of the present invention is useful is the ferroelectric memory cell 310 of FIG. 14. The memory cell 310 includes a ferroelectric material 311, which is prepared by depositing one or more of the materials discussed herein preferably using chemical vapor techniques, between two electrodes 312 and 313, which are typically made of platinum, although other metals such as gold or aluminum can also be used. The bottom electrode 313 is typically in contact with a silicon-containing layer 314, such as an n-type or p-type silicon substrate, silicon dioxide, glass, etc. A conductive mixed metal nitride and boride barrier layer 315, preferably deposited by CVD, is positioned between the bottom electrode 313 and the silicon-containing layer 314 to act as a barrier layer to diffusion of atoms such as silicon into the electrode and ferroelectric material.

Yet another specific example of where a film formed from the material of the present invention is useful is the structure shown in FIG. 15. The substrate 416 may be in the form of an n channel MOSFET (n-channel metal-oxide semiconductor field-effect transistor), which may be used in a DRAM memory device. As shown, substrate 416 is a p-type silicon having two n-type silicon islands 420 and 422, representing the transistor source and drain. Such a construction is well known. The gate for the transistor is formed by a metal/polysilicon layer 424 deposited over a silicon dioxide layer 426. A relatively thick layer of an insulating silicon dioxide 428 overlies the active areas on substrate 416.

To connect the MOSFET of FIG. 15 with conductive paths on the surface of the device, contacts 430 and 432 have been etched through oxide layer 428 down to the surface of substrate 416. A metal or metal silicide layer 434, such as titanium silicide, is deposited and formed at the base of contacts 430 and 432. A thin, conformal barrier layer of a mixed metal boride and nitride 436 is deposited by CVD over the walls of the contacts. Because of the presence of the conductive barrier layer, the electrical contact path is excellent and the aluminum metal 438 which is deposited over the mixed metal boride and nitride barrier layer 436 is prevented from attacking the substrate surfaces.

In still another example, as depicted in FIG. 16, after deposition of the MxAlyNzBw barrier layer 516 within the opening within layer 514, a conductive layer 518 may be deposited to fill the cavity formed in layer 514 over the substrate contact region 515. Conductive layer 518 may be, for example, aluminum or tungsten. Subsequently, layers 516 and 518 may removed, such as by being patterned and etched in a desired manner to form interconnects between substrate regions. Alternatively, conductive layer 518 and barrier layer 516 could be etched away to form a conductive plug structure as shown in FIG. 17.

The mixed-metal nitride and boride barrier layer and electrode materials according to the invention have excellent conductivity, and therefor reduce depletion effects and enhance frequency response. The materials possess excellent barrier properties for protection of cell dielectrics and substrate during oxidation/recrystallization steps for dielectrics and during BPGS reflow and other high temperature steps after capacitor formation. In addition, the barriers according to the invention also substantially prevent diffusion to protect cell dielectrics from interaction with Si and other surrounding materials which may degrade the dielectric materials or produce an additional SiO2 dielectric layer. Thus, the barriers/electrodes of the invention are not limited to use as barrier films for bottom electrodes, but may also be employed both as top and bottom electrodes, and as additional barrier layers applied to any other top and/or bottom electrodes. The compositions and methods of forming barrier films of the present invention are also beneficial for a wide variety of thin film applications in integrated circuit structures, particularly those using high dielectric materials and/or silicon-metal interfaces. The method of the preferred embodiments of the invention prevent degradation of an electrical connection between a conductive layer and a semiconductor substrate by providing a diffusion barrier between the two regions.

Accordingly, the above description and accompanying drawings are only illustrative of preferred embodiments which can achieve and provide the objects, features and advantages of the present invention. It is not intended that the invention be limited to the embodiments shown and described in detail herein. The invention is only limited by the spirit and scope of the following claims.

Vaartstra, Brian A., Westmoreland, Donald L.

Patent Priority Assignee Title
10372808, Dec 12 2012 GOOGLE LLC Passing functional spreadsheet data by reference
10621281, May 08 2014 GOOGLE LLC Populating values in a spreadsheet using semantic cues
10922482, Dec 12 2012 GOOGLE LLC Passing functional spreadsheet data by reference
11630948, Dec 12 2012 GOOGLE LLC Passing functional spreadsheet data by reference
7837797, Aug 20 2004 Micron Technology, Inc. Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition
9286285, Oct 30 2012 GOOGLE LLC Formula editor
9311289, Aug 16 2013 GOOGLE LLC Spreadsheet document tab conditional formatting
9959265, May 08 2014 GOOGLE LLC Populating values in a spreadsheet using semantic cues
Patent Priority Assignee Title
5142438, Nov 15 1991 Micron Technology, Inc.; MICRON TECHNOLOGY, INC , A CORP OF DE Dram cell having a stacked capacitor with a tantalum lower plate, a tantalum oxide dielectric layer, and a silicide buried contact
5187638, Jul 27 1992 Micron Technology, Inc. Barrier layers for ferroelectric and pzt dielectric on silicon
5198386, Jun 08 1992 Round Rock Research, LLC Method of making stacked capacitors for DRAM cell
5246881, Apr 14 1993 Micron Technology, Inc Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
5362632, Feb 08 1994 Round Rock Research, LLC Barrier process for Ta2 O5 capacitor
5406447, Jan 06 1992 Renesas Electronics Corporation Capacitor used in an integrated circuit and comprising opposing electrodes having barrier metal films in contact with a dielectric film
5414301, Mar 15 1985 National Semiconductor Corporation High temperature interconnect system for an integrated circuit
5464786, Oct 24 1994 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method for forming a capacitor having recessed lateral reaction barrier layer edges
5478772, Apr 02 1993 NANYA Method for forming a storage cell capacitor compatible with high dielectric constant materials
5486492, Oct 30 1992 KAWASAKI MICROELECTRONICS, INC Method of forming multilayered wiring structure in semiconductor device
5489548, Aug 01 1994 Texas Instruments Incorporated Method of forming high-dielectric-constant material electrodes comprising sidewall spacers
5498562, Apr 07 1993 Round Rock Research, LLC Semiconductor processing methods of forming stacked capacitors
5504041, Aug 01 1994 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
5506166, Apr 02 1993 NANYA Method for forming capacitor compatible with high dielectric constant materials having a low contact resistance layer
5567964, Jun 29 1993 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
5568352, Jan 26 1995 Samsung Electronics Co., Ltd. Capacitor and manufacturing method thereof
5585300, Aug 01 1994 Texas Instruments Incorporated Method of making conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes
5605857, Feb 12 1993 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
5654222, May 17 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method for forming a capacitor with electrically interconnected construction
5654224, Oct 24 1994 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor construction with oxidation barrier blocks
5663088, May 19 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
5665628, Aug 01 1994 Texas Instruments Incorporated Method of forming conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes
5679980, Aug 01 1994 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant material electrodes
5686339, Oct 18 1995 LG Semicon Co., Ltd. High dielectric constant capacitor and a fabricating method thereof
5688724, Jul 02 1992 National Semiconductor Corporation Method of providing a dielectric structure for semiconductor devices
5690727, Dec 13 1991 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Thin films of ABO3 with excess B-site modifiers and method of fabricating integrated circuits with same
5696018, Aug 01 1994 Texas Instruments Incorporated Method of forming conductive noble-metal-insulator-alloy barrier layer for high-dielectric-constant material electrodes
5717250, Aug 15 1994 Micron Technology, Inc. Sputter and CVD deposited titanium nitride barrier layer between a platinum layer and a polysilicon plug
5760474, Jul 09 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Capacitor, integrated circuitry, diffusion barriers, and method for forming an electrically conductive diffusion barrier
5834803, Nov 11 1994 EPIPHOTONICS CORP Oriented ferroelectric thin film element and process for preparing the same
5851896, Aug 01 1994 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant material electrodes
5877087, Nov 21 1995 Applied Materials, Inc Low temperature integrated metallization process and apparatus
5895938, Feb 16 1996 Kabushiki Kaisha Toshiba Semiconductor device using semiconductor BCN compounds
5899740, Mar 04 1997 Samsung Electronics Co., Ltd. Methods of fabricating copper interconnects for integrated circuits
6017818, Jan 22 1996 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
6054331, Jan 05 1998 Tong Yang Cement Corporation Apparatus and methods of depositing a platinum film with anti-oxidizing function over a substrate
6087674, Oct 28 1996 OVONYX MEMORY TECHNOLOGY, LLC Memory element with memory material comprising phase-change material and dielectric material
6090697, Jun 30 1997 Texas Instruments Incorporated Etchstop for integrated circuits
6100200, Dec 21 1998 MORGAN STANLEY SENIOR FUNDING, INC Sputtering process for the conformal deposition of a metallization or insulating layer
6117772, Jul 10 1998 BALL SEMICONDUCTOR Method and apparatus for blanket aluminum CVD on spherical integrated circuits
6156630, Aug 22 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Titanium boride gate electrode and interconnect and methods regarding same
6194754, Mar 05 1999 University of Maryland, College Park Amorphous barrier layer in a ferroelectric memory cell
6235631, Oct 30 1997 Texas Instruments Incorporated Method for forming titanium aluminum nitride layers
6284646, Aug 19 1997 SAMSUNG ELECTRONICS CO , LTD Methods of forming smooth conductive layers for integrated circuit devices
6294420, Jan 28 1998 Texas Instruments Incorporated Integrated circuit capacitor
6313035, May 31 1996 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
6320213, Dec 19 1997 MORGAN STANLEY SENIOR FUNDING, INC Diffusion barriers between noble metal electrodes and metallization layers, and integrated circuit and semiconductor devices comprising same
6365517, Jan 21 1997 Texas Instruments Incorporated Process for depositing thin films containing titanium and nitrogen
6455419, Jan 12 1998 Texas Instruments Incorporated System and method of forming a tungsten plug
6580111, Jun 07 2000 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor
EP770862,
//////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 19 2003Micron, Technology, Inc.(assignment on the face of the patent)
Apr 26 2016Micron Technology, IncU S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0386690001 pdf
Apr 26 2016Micron Technology, IncMORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTPATENT SECURITY AGREEMENT0389540001 pdf
Apr 26 2016Micron Technology, IncU S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTCORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001 ASSIGNOR S HEREBY CONFIRMS THE SECURITY INTEREST 0430790001 pdf
Jun 29 2018U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0472430001 pdf
Jul 31 2019MORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0509370001 pdf
Date Maintenance Fee Events
Jul 21 2006ASPN: Payor Number Assigned.
Jan 29 2010M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Feb 06 2014M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Apr 16 2018REM: Maintenance Fee Reminder Mailed.
Oct 08 2018EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Sep 05 20094 years fee payment window open
Mar 05 20106 months grace period start (w surcharge)
Sep 05 2010patent expiry (for year 4)
Sep 05 20122 years to revive unintentionally abandoned end. (for year 4)
Sep 05 20138 years fee payment window open
Mar 05 20146 months grace period start (w surcharge)
Sep 05 2014patent expiry (for year 8)
Sep 05 20162 years to revive unintentionally abandoned end. (for year 8)
Sep 05 201712 years fee payment window open
Mar 05 20186 months grace period start (w surcharge)
Sep 05 2018patent expiry (for year 12)
Sep 05 20202 years to revive unintentionally abandoned end. (for year 12)