A semiconductor device having a transistor channel with an enhanced stress is provided. To achieve the enhanced stress transistor channel, a nitride film is preferentially formed on the device substrate with little to no nitride on a portion of the gate stack. The nitride film may be preferentially deposited only on the silicon substrate in a non-conformal layer, where little to no nitride is deposited on the upper portions of the gate stack. The nitride film may also be uniformly deposited on the silicon substrate and gate stack in a conformal layer, with the nitride film proximate the upper regions of the gate stack preferentially removed in a later step. In some embodiments, nitride near the top of the gate stack is removed by removing the upper portion of the gate stack. In any of the methods, stress in the transistor channel is enhanced by minimizing nitride deposited on the gate stack, while having nitride deposited on the substrate.

Patent
   7118999
Priority
Jan 16 2004
Filed
Jan 16 2004
Issued
Oct 10 2006
Expiry
Jan 16 2024
Assg.orig
Entity
Large
11
108
all paid
1. A method of enhancing stress in a semiconductor device, comprising:
depositing a layer of nitride film over a gate stack and a surface of a substrate;
removing the nitride film on the gate stack to provide enhanced stress in a transistor channel under the gate stack;
forming a spacer adjacent a sidewall of the gate stack; and
etching upper portions of the spacer to form sidewalls only at a lower portion of the gate stack.
2. A method of enhancing stress in a semiconductor device, comprising:
depositing a layer of nitride film over a gate stack and a surface of a substrate;
removing the nitride film on the gate stack to provide enhanced stress in a transistor channel under the gate stack; and
depositing a salicide gate region on top of the gate stack,
wherein a gate is about 60 nm wide, a spacer is about 50 nm wide, and the nitride film provides a stress of about 2.0 GPa, the enhanced stress in the transistor channel is greater than approximately 4.5×109 dynes/cm2 at about 5 nm below a gate oxide.
3. A method of enhancing stress in a semiconductor device, comprising:
depositing a layer of nitride film over a gate stack and a surface of a substrate; and
removing the nitride film on the gate stack to provide enhanced stress in a transistor channel under the gate stack,
wherein a gate is about 60 nm wide, a spacer is about 50 nm wide, and the nitride film provides a stress of about 2.0 GPa, the enhanced stress in the transistor channel is greater than approximately 4.5×109 dynes/cm2 at about 5 nm below a gate oxide, and
wherein the substrate remains covered by the nitride film after the nitride film is removed from an upper portion of the gate stack.

The invention generally relates to the enhancement of transistor performance, and more particularly to the enhancement of transistor performance by creating a desired stress in the transistor channel region.

As semiconductor devices shrink, carrier mobility has become a roadblock for improved device speed. Studies have shown that electron mobility can be increased significantly by creating tensile stress in a transistor channel, and hole mobility can be improved by creating compressive stress. Thus, to improve the characteristics of a semiconductor device, tensile and/or compressive stresses are created in the channel of the n-type devices (e.g., nFETs) and/or p-type devices (e.g., pFETs). Additionally, the higher the stress in the channel, the higher mobility improvement which may be realized.

The channel stress may be induced by a Si3N4 or nitride film deposited on top of the transistor. The induced stress in the channel has the same sign (tensile or compressive) with that of the nitride film. However, the induced channel stress is only a fraction of the nitride film in the magnitude. Typical stresses are about 12 GPa for tensile stresses, and about 12.5 GPa for compressive stresses, hence, the maximum strain effect is limited.

For example, a related art transistor having a stressed transistor channel includes a silicon substrate having a gate oxide formed on its upper surface. Next, a polysilicon gate is deposited on the gate oxide. Offset spacers or gate sidewalls are formed adjacent both sides of the polysilicon gate. The gate sidewalls may be used to form a proper ion implanted extension structure within the silicon substrate. Additionally, Si3N4, spacers are formed fully on each sidewall of the polysilicon gate. It should be noted that the Si3N4, spacers are typically attached to the gate sidewalls prior to ion implantation. Next, ion implantation is used to form source/drain regions within the silicon substrate on both sides of the polysilicon gate.

Further processing includes forming substrate salicide regions proximate to the source/drain regions and a gate salicide region formed on the polysilicon gate. The substrate and gate salicide regions may include CoSi or NiSi. The substrate and gate salicide regions are formed self-aligned to the source/drain regions and polysilicon gate regions.

Next, a highly stressed Si3N4 or nitride film is uniformly deposited over the silicon substrate, source and drain regions, offset spacers, and polysilicon gate with a same thickness. The stressed nitride film is deposited as a conformal layer, including being deposited over the sidewall spacers. The nitride film causes stress in the silicon substrate, including the transistor channel region through a mismatch in the crystal lattice structures of the silicon substrate and the nitride film. The induced stress in the transistor channel region is proportional and of the same sign (tensile or compressive) with the stress in the nitride film. The magnitude of the induced stress is a fraction of the stress in the nitride film.

It should be noted that in the device described above, the induced stress in the transistor channel region is a small fraction of the stress of the nitride film. Because the improvement in carrier mobility increases with increased stress magnitude in the transistor channel region, a method to produce higher stress in the transistor channel region would further improve transistor performance.

The invention includes a method of enhancing stress in a semiconductor device having a gate stack disposed on a substrate, including depositing a nitride film along a surface of the substrate and the gate stack, wherein the nitride film is thicker over a surface of the substrate and thinner over a portion of the gate stack.

The invention also includes a method of enhancing stress in a semiconductor device having a gate stack disposed on a substrate, including depositing a layer of nitride film over the gate stack and a surface of the substrate, and removing a portion of the gate stack and the nitride film deposited thereon.

The invention also includes a semiconductor device, having a silicon substrate, a gate stack disposed on the silicon substrate, a stressed nitride film disposed on the silicon substrate and the gate stack, wherein the stressed nitride film is thicker over the silicon substrate and thinner over a portion of the gate stack.

FIG. 1 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 2 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 3 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 4 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 5 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 6 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 7 illustrates a table showing stress vs. distance in a semiconductor device formed in accordance with the invention;

FIG. 8 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 9 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 10 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 11 illustrates a step in forming an embodiment in accordance with the invention;

FIG. 12 illustrates a step in forming an embodiment in accordance with the invention; and;

FIG. 13 illustrates a step in forming an embodiment in accordance with the invention.

Transistor performance may be enhanced by creating stress in the transistor channel region. Accordingly, a gate structure may be made so that an enhanced stress is induced in the transistor channel from a stressed nitride film. To achieve this enhanced strain effect, one embodiment of the invention includes removing the gate spacer from the wafer after salicide is formed and then depositing a non-conformal Si3N4 film including forming little or no nitride on gate sidewalls at the top of the gate stack. In this manner, enhancement of transistor performance is provided by creating a desired stress in the transistor channel region of the device. It should be noted that this method and apparatus to increase strain effect in a channel of a transistor works for both n-type or p-type devices. As such, the nitride may be made either tensile or compressive by suitably adjusting the deposition parameters as is well known in the art.

In other embodiments, this structure may be obtained by coating the wafer with spin-on material after a stressed nitride film is deposited. The stressed nitride film at the lower region on either side of the gate is protected by the spin-on material during subsequent material removal steps. In still another embodiment of the method, the enhanced stress structure may be achieved by using a CMP (chemical mechanical planarization) process to remove a nitride stack from the gate top region after a spin-on material has been applied. The latter technique may also be applicable to replacement gate processes.

Referring now to the figures, FIG. 1 shows a silicon substrate 12 having a gate oxide 14 formed on its upper surface. Next, a polysilicon gate 16 is formed on the gate oxide 14. Offset spacers or gate sidewalls 18 are formed on the side of the polysilicon gate 16. The offset spacers 18 are used to form a proper ion implanted extension structure within the silicon substrate 12.

FIG. 2 shows Si3N4 spacers 20 formed on each side of the polysilicon gate 16. The Si3N4 spacers 20 are attached to the offset spacers 18 prior to ion implantation. Next, ion implantation is used to form source/drain regions 22 within the silicon substrate 12 at either side of the polysilicon gate 16. For n-type transistors, a shallow and high-dose of arsenic ions, for example, may be used to form the source/drain regions. For the p-type transistors, a shallow and high dose of BF2 ions, for example, may be used to form the source/drain regions.

FIG. 3 shows the gate oxide 14 removed on either side of the Si3N4 spacers 20. Also shown are substrate salicide regions 24 formed proximate to the source/drain regions 22 and a gate salicide region 26 formed on the polysilicon gate 16. The substrate and gate salicide regions, 24 and 26, may include CoSi or NiSi. The substrate and gate salicide regions, 24 and 26, are formed self-aligned to the source/drain regions 22 and polysilicon gate regions 16.

FIG. 4 shows a semiconductor device before forming a stressed transistor channel having a silicon substrate 12 with a doped salicide region 24. On top of the silicon substrate 12 is a gate oxide 14, and on top of the gate oxide 14 is a polysilicon gate 16. Gate sidewalls 18 are formed on the sides of the polysilicon gate 16. A gate salicide region 26 is formed on the polysilicon gate 16 to complete the gate stack 19. Together, the polysilicon gate 16 and gate sidewalls 18 form the gate stack 19. For purposes of this description, the gate stack 19 may also include, for example, the polysilicon gate 16, gate sidewalls 18, and gate salicide region 26. The structure thus described is formed in any conventional manner known to those of skill in the art.

As shown in FIG. 4, any Si3N4 spacer which may exist (referenced as numeral 20 in FIG. 2) is removed from gate sidewalls 18 using isotropic Si3N4 RIE (reactive ion etching) process, which is selective to CoSi and oxide. The Si3N4 spacer may be removed completely, reduced in size, or simply recessed using the RIE method. It should be noted that any process which removes or reduces the Si3N4 spacer from the top of the gate stack may be used, as should be known to those of skill in the art.

Referring to FIG. 5, a non-conformal Si3N4 or nitride film 32 is deposited on the transistor gate using PECVD (plasma enhanced chemical vapor deposition) process. A non-conformal Si3N4 film can be formed using a PECVD deposition method at relatively low temperature, which is desirable at this stage of wafer processing. Although PECVD is used as an example, it should be noted that any process capable of depositing a non-conformal nitride film may be used. In one implementation, the nitride film 32 should be deposited so that there is little or no Si3N4 on gate sidewalls 18. Because the nitride film 32 is absent or reduced on the gate sidewalls 18, stress concentrators or raisers are created due to the abrupt termination of the material, causing a higher stress to be induced in the transistor channel 34, as further described below.

FIG. 6 is a graph of the results of a numerical simulation of the stress, Sxx, in a transistor channel as a function of horizontal distance in microns along a device substrate for both before (solid line) and after (dashed line) removing a nitride cap in a region 5 nm below the gate oxide for a polysilicon gate 60 nm wide, where the nitride film has a stress, Sxx, of 2.0 GPa formed in the transistor channel which is greater than approximately 4.5×109 dynes/cm2 at about 5 nm below a gate oxide, and a 50 nm thick spacer, which may be one implementing feature of the invention. In another implementation, the enhanced stress in the transistor channel is greater than approximately 5.5×109 dynes/cm2 at about 5 nm below a gate oxide.

As shown by the graph of FIG. 6, the numerical simulation shows that stress in the corresponding transistor channel increases 39% when the Si3N4 stack is removed from the top region of the gate, especially when the Si3N4 is removed from gate sidewalls near the top of the gate stack.

Referring to FIGS. 7–8, another embodiment of transistor channel stress enhancement is shown where FIG. 7 illustrates a semiconductor device having a silicon substrate 12 with a gate oxide 14 thereon. The silicon substrate 12 has salicide region 24. A polysilicon gate 16 with gate sidewalls 18 is located on the gate oxide 14. A gate salicide region 26 is formed on top of the polysilicon gate 16.

In a variation to the device of FIG. 4, a Si3N4 spacer 36 is added to the device shown in FIG. 7. The spacer 36 of FIG. 7 occupies a small region on the surface of the silicon substrate 12 and gate sidewalls 18, as well as being formed on the lower region of the gate stack. That is, the spacer is not provided along the entire sidewall. Such a configuration minimizes the amount of Si3N4 formed near the top of the gate stack. The Si3N4 spacer 36 may be formed by various processes, such as, for example, recessing the Si3N4 spacer 36 using RIE process, which is selective to SiO2 and silicide. Any other process which may form a Si3N4 spacer towards the bottom of the gate stack may be used, as can be implemented by those of skill in the art.

Referring to FIG. 8, a non-conformal Si3N4 or nitride layer 38 is deposited on the device using, for example, a PECVD process so that there is little or no Si3N4 deposited on the gate sidewalls 18. Once again, by depositing a minimum amount of nitride on the gate sidewalls 18, the nitride structure terminates more abruptly creating stress concentrators or raisers, which enhance the stress formed in a transistor channel 40.

Although a PECVD process is used in the example, any process, such as, for example, high density plasma deposition, which may deposit a non-conformal nitride film may be used. Furthermore, any process which preferentially reduces the amount of nitride deposited on the gate sidewalls 18 may be used.

Referring to FIG. 9, another method of enhancing stress in a transistor channel is shown where a nitride film 28 deposited over a silicon substrate 12 having a gate oxide 14, Si3N4 spacers 20, sidewall spacers 18 and polysilicon gate 16 with a gate salicide region 26 on top. After the nitride film 28 has been deposited, a spin-on material 42, such as, for example, ARC (antireflection coating), oxide, or SILK™ (a combination of silicon and low k dielectric material) is applied.

By applying a material using a spin-on technique, the material is preferentially deposited in the low spots and leaves the high spots uncoated. Additionally, any material which may act as a resist when the nitride film 28 is subsequently removed may be a suitable spin-on material. Thus, the spin-on coating method leaves the high points of the nitride film 28 exposed for further processing. Other methods which preferentially deposit material in the low regions and leave the high region exposed may used to form film on top of the nitride film 28.

Referring to FIG. 10, the exposed portion of the nitride film 28 is removed through a Si3N4 RIE process so that the nitride film 28 is preferentially removed only from the top of the gate stack. By removing the exposed portions of the nitride film 28, the upper portion of the gate stack is released from the nitride film 28 while the top of the silicon substrate remains covered. Such selective removal of the nitride film 28 forms a transistor channel 44 having enhanced stress therein due to the silicon substrate 12 remaining covered. The nitride film 28 may be removed by any process which preferentially removes the nitride film 28 and leaves the spin-on material 42.

FIGS. 11–13 illustrate another embodiment by which a transistor channel having enhanced stress may be formed. Referring to FIG. 11, a semiconductor device like that shown in FIG. 9 is illustrated having a silicon substrate 12 with a gate oxide 14 thereon. The silicon substrate 12 has salicide regions 24. A polysilicon gate 16 with gate sidewalls 18 is located on the gate oxide 14. A gate salicide region 26 is formed on top of the polysilicon gate 16, all of which is known by those of skill in the art and implemented in accordance with known practices. Additionally, a nitride coating 28 with exposed high spots and spin-on material 42 is shown. Alternatively, an oxide layer (HDP (high density plasma) oxide or BPSG (borophosphorosilicate glass)) may be applied leaving the high spots of the nitride film 28 exposed. Additionally, any material which may act as a resist when the nitride film 28 is subsequently removed may be a suitable spin-on material.

As shown in FIG. 12, the wafer is planarized using CMP to remove the exposed portion of the nitride film 28 and the top region of the gate stack. Accordingly, the silicon substrate 12 remains covered with nitride film 28 while the top of the gate stack is removed. By removing the top of the gate stack along with any nitride film 28 formed thereon, an enhanced stress transistor channel 46 is formed within the silicon substrate 12. Any other known method to planarize the wafer may be used to remove the top of the gate stack.

Finally, as shown in FIG. 13, the spin-on material 42 is removed, and a salicide gate region 48 is formed on the top of the gate stack. Any method which preferentially removes the spin-on material may used for this step. Once again, the resulting structure includes a substrate covered with a nitride film, while avoiding nitride film on an upper portion of a gate stack. It should be noted that the method illustrated in FIGS. 11–13 may be readily applicable to a replacement gate process.

While the invention has been described in terms of embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims. For example, the invention can be readily applicable to bulk substrates.

Zhu, Huilong, Yang, Haining S.

Patent Priority Assignee Title
7262484, May 09 2005 GLOBALFOUNDRIES Inc Structure and method for performance improvement in vertical bipolar transistors
7410875, Apr 06 2006 Marlin Semiconductor Limited Semiconductor structure and fabrication thereof
7453120, Apr 06 2006 Marlin Semiconductor Limited Semiconductor structure
7615432, Nov 02 2005 International Business Machines Corporation HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
7790558, Jan 16 2004 GLOBALFOUNDRIES U S INC Method and apparatus for increase strain effect in a transistor channel
7898061, May 09 2005 GLOBALFOUNDRIES Inc Structure for performance improvement in vertical bipolar transistors
7932155, May 09 2005 GLOBALFOUNDRIES Inc Structure and method for performance improvement in vertical bipolar transistors
8448124, Sep 20 2011 GLOBALFOUNDRIES Inc Post timing layout modification for performance
8729635, Jan 18 2006 Macronix International Co., Ltd. Semiconductor device having a high stress material layer
8937369, Oct 01 2012 United Microelectronics Corp. Transistor with non-uniform stress layer with stress concentrated regions
9343573, Oct 01 2012 United Microelectronics Corp. Method of fabrication transistor with non-uniform stress layer with stress concentrated regions
Patent Priority Assignee Title
3602841,
4665415, Apr 24 1985 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
4853076, Sep 11 1981 Massachusetts Institute of Technology Semiconductor thin films
4855245, Sep 13 1985 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
4952524, May 05 1989 AGERE Systems Inc Semiconductor device manufacture including trench formation
4958213, Dec 07 1987 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
5006913, Nov 05 1988 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
5060030, Jul 18 1990 Raytheon Company Pseudomorphic HEMT having strained compensation layer
5081513, Feb 28 1991 Thomson Licensing Electronic device with recovery layer proximate to active layer
5108843, Nov 30 1988 RICOH COMPANY, LTD , A JOINT-STOCK COMPANY OF JAPAN; RICOH RESEARCH INSTITUTE OF GENERAL ELECTRONICS CO , LTD , A JOINT-STOCK COMPANY OF JAPAN Thin film semiconductor and process for producing the same
5134085, Nov 21 1991 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
5310446, Jan 10 1990 Ricoh Company, LTD Method for producing semiconductor film
5354695, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5371399, Jun 14 1991 International Business Machines Corporation; Purdue Research Foundation Compound semiconductor having metallic inclusions and devices fabricated therefrom
5391510, Feb 28 1992 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
5459346, Jun 28 1988 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
5471948, Jun 14 1991 International Business Machines Corporation; Purdue Research Foundation Method of making a compound semiconductor having metallic inclusions
5557122, May 12 1995 ACACIA PATENT ACQUISTION CORPORATION Semiconductor electrode having improved grain structure and oxide growth properties
5561302, Sep 26 1994 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Enhanced mobility MOSFET device and method
5565697, Jun 28 1988 Ricoh Company, Ltd. Semiconductor structure having island forming grooves
5571741, Sep 30 1994 Elm Technology Corporation Membrane dielectric isolation IC fabrication
5592007, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation transistor fabrication
5592018, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5670798, Mar 29 1995 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
5679965, Mar 29 1995 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
5683934, Sep 26 1994 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Enhanced mobility MOSFET device and method
5840593, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5861651, Feb 28 1997 Bell Semiconductor, LLC Field effect devices and capacitors with improved thin film dielectrics and method for making same
5880040, Apr 15 1996 MACRONIX INTERNATIONAL CO , LTD Gate dielectric based on oxynitride grown in N2 O and annealed in NO
5940736, Mar 11 1997 Bell Semiconductor, LLC Method for forming a high quality ultrathin gate oxide layer
5946559, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5960297, Jul 02 1997 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
5989978, Jul 16 1998 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
6008126, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
6025280, Apr 28 1997 Bell Semiconductor, LLC Use of SiD4 for deposition of ultra thin and controllable oxides
6046464, Mar 29 1995 North Carolina State University Integrated heterostructures of group III-V nitride semiconductor materials including epitaxial ohmic contact comprising multiple quantum well
6066545, Dec 09 1997 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
6090684, Jul 31 1998 Hitachi, Ltd. Method for manufacturing semiconductor device
6107143, Mar 02 1998 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
6117722, Feb 18 1999 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
6133071, Oct 15 1997 NEC COMPOUND SEMICONDUCTOR DEVICES, LTD Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
6153501, May 20 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of reducing overetch during the formation of a semiconductor device
6165383, Apr 10 1998 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
6198144, Aug 18 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Passivation of sidewalls of a word line stack
6221735, Feb 15 2000 Invensas Corporation Method for eliminating stress induced dislocations in CMOS devices
6228694, Jun 28 1999 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
6246095, Mar 11 1997 Bell Semiconductor, LLC System and method for forming a uniform thin gate oxide layer
6255169, Feb 22 1999 Lattice Semiconductor Corporation Process for fabricating a high-endurance non-volatile memory device
6261964, Mar 14 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Material removal method for forming a structure
6265317, Jan 09 2001 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
6274444, Aug 10 1999 United Microelectronics Corp Method for forming mosfet
6277720, Jun 30 1997 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
6281532, Jun 28 1999 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
6284623, Oct 25 1999 Newport Fab, LLC Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
6284626, Apr 06 1999 Lattice Semiconductor Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
6319794, Oct 14 1998 GLOBALFOUNDRIES Inc Structure and method for producing low leakage isolation devices
6361885, Apr 10 1998 Organic Display Technology Organic electroluminescent materials and device made from such materials
6362082, Jun 28 1999 Intel Corporation Methodology for control of short channel effects in MOS transistors
6368931, Mar 27 2000 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
6403486, Apr 30 2001 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
6403975, Apr 09 1996 Max-Planck-Gesellschaft zur Forderung der Wissenschaften EV Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
6406973, Jun 29 1999 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
6461936, Jan 04 2002 Infineon Technologies AG Double pullback method of filling an isolation trench
6476462, Dec 28 1999 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
6483171, Aug 13 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
6493497, Sep 26 2000 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Electro-optic structure and process for fabricating same
6498358, Jul 20 2001 Google Technology Holdings LLC Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
6501121, Nov 15 2000 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor structure
6506652, Nov 13 1998 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
6509618, Nov 13 1998 Intel Corporation Device having thin first spacers and partially recessed thick second spacers for improved salicide resistance on polysilicon gates
6521964, Nov 13 1998 Intel Corporation Device having spacers for improved salicide resistance on polysilicon gates
6531369, Mar 01 2000 Qualcomm Incorporated Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
6531740, Jul 17 2001 Freescale Semiconductor, Inc Integrated impedance matching and stability network
6717216, Dec 12 2002 GLOBALFOUNDRIES U S INC SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
6825529, Dec 12 2002 AURIGA INNOVATIONS, INC Stress inducing spacers
6831292, Sep 21 2001 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
6974981, Dec 12 2002 GLOBALFOUNDRIES U S INC Isolation structures for imposing stress patterns
6977194, Oct 30 2003 GLOBALFOUNDRIES U S INC Structure and method to improve channel mobility by gate electrode stress modification
7015082, Nov 06 2003 GLOBALFOUNDRIES Inc High mobility CMOS circuits
20010009784,
20020063292,
20020074598,
20020086472,
20020086497,
20020090791,
20030032261,
20030040158,
20030057184,
20030067035,
20040238914,
20040262784,
20050040460,
20050082634,
20050093030,
20050098829,
20050106799,
20050136583,
20050145954,
20050148146,
20050194699,
20050236668,
20050245017,
20050280051,
20050282325,
20060027868,
20060057787,
20060060925,
JP6476755,
//////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Dec 18 2003ZHU, HUILONGInternational Business Machines CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0142760523 pdf
Dec 18 2003YANG, HAINING SInternational Business Machines CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0142760523 pdf
Jan 16 2004International Business Machines Corporation(assignment on the face of the patent)
Jun 29 2015International Business Machines CorporationGLOBALFOUNDRIES U S 2 LLCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0365500001 pdf
Sep 10 2015GLOBALFOUNDRIES U S 2 LLCGLOBALFOUNDRIES IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0367790001 pdf
Sep 10 2015GLOBALFOUNDRIES U S INC GLOBALFOUNDRIES IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0367790001 pdf
Nov 27 2018GLOBALFOUNDRIES IncWILMINGTON TRUST, NATIONAL ASSOCIATIONSECURITY AGREEMENT0494900001 pdf
Oct 22 2020GLOBALFOUNDRIES IncGLOBALFOUNDRIES U S INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0546330001 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES U S INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0569870001 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0546360001 pdf
Date Maintenance Fee Events
Aug 31 2006ASPN: Payor Number Assigned.
Jan 21 2010M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
May 23 2014REM: Maintenance Fee Reminder Mailed.
Jul 11 2014M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Jul 11 2014M1555: 7.5 yr surcharge - late pmt w/in 6 mo, Large Entity.
Apr 03 2018M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Oct 10 20094 years fee payment window open
Apr 10 20106 months grace period start (w surcharge)
Oct 10 2010patent expiry (for year 4)
Oct 10 20122 years to revive unintentionally abandoned end. (for year 4)
Oct 10 20138 years fee payment window open
Apr 10 20146 months grace period start (w surcharge)
Oct 10 2014patent expiry (for year 8)
Oct 10 20162 years to revive unintentionally abandoned end. (for year 8)
Oct 10 201712 years fee payment window open
Apr 10 20186 months grace period start (w surcharge)
Oct 10 2018patent expiry (for year 12)
Oct 10 20202 years to revive unintentionally abandoned end. (for year 12)