An integrated circuit structure includes a substrate; a through-silicon via (tsv) in the substrate, the tsv being tapered; a hard mask region extending from a top surface of the substrate into the substrate, wherein the hard mask encircles a top portion of the tsv; dielectric layers over the substrate; and a metal post extending from a top surface of the dielectric layers to the tsv, wherein the metal post comprises same materials as the tsv.

Patent
   7564115
Priority
May 16 2007
Filed
May 16 2007
Issued
Jul 21 2009
Expiry
May 16 2027
Assg.orig
Entity
Large
135
4
all paid
10. An integrated circuit structure comprising:
a substrate;
a shallow trench isolation (sti) region extending from a top surface of the substrate into the substrate, the sti region forming a ring;
a polysilicon ring on the sti region;
low-k dielectric layers over the substrate and the polysilicon ring; and
a conductive feature extending from a top surface of the low-k dielectric layers into the substrate, wherein the conductive feature comprises a first portion in the low-k dielectric layers, and a second portion penetrating through the polysilicon ring and the ring of the sti region.
1. An integrated circuit structure comprising:
a substrate;
a through-silicon via (tsv) in the substrate, wherein the tsv extends from a top surface to a bottom surface of the substrate, and wherein the top surface and the bottom surface are opposite surfaces of the substrate;
a hard mask region extending from the top surface of the substrate into the substrate, wherein the hard mask encircles a top portion of the tsv;
dielectric layers over the substrate; and
a metal post extending from a top surface of the dielectric layers to the tsv, wherein the metal post comprises same materials as the tsv.
2. The integrated circuit structure of claim 1 further comprising a ring-shaped etch stop layer between the dielectric layers and the hard mask, wherein the ring-shaped etch stop layer encircles only a lower portion of the metal post.
3. The integrated circuit structure of claim 2, wherein the ring-shaped etch stop layer is a polysilicon layer, and wherein the substrate is a silicon substrate.
4. The integrated circuit structure of claim 1, wherein the hard mask has a thickness of less than a height of the tsv.
5. The integrated circuit structure of claim 1, wherein the hard mask has a thickness of less than about 1 μm.
6. The integrated circuit structure of claim 1, wherein the tsv is tapered with sidewalls of the tsv having a tilt angle of less than about 90 degrees.
7. The integrated circuit structure of claim 1 further comprising sti regions isolating active devices, wherein the hard mask and the sti regions have same thicknesses and comprise same materials.
8. The integrated circuit structure of claim 1, wherein sidewalls of the metal post are tapered with upper portions having widths greater than lower portions.
9. The integrated circuit structure of claim 1, wherein a lower portion of the tsv is not encircled by the hard mask.
11. The integrated circuit structure of claim 10, wherein the second portion has a tapered profile with a top portion having a greater width than a lower portion.
12. The integrated circuit structure of claim 10, wherein the second portion of the conductive feature has a height greater than a thickness of the sti region.
13. The integrated circuit structure of claim 10, wherein the sti region has a thickness of less than about 1 μm, and wherein the polysilicon ring has a thickness of less than about 1 μm.
14. The integrated circuit structure of claim 10, wherein an inner sidewall of the polysilicon ring is continuously connected to an inner sidewall of the sti region.
15. The integrated circuit structure of claim 10, wherein the polysilicon ring and the sti region are circular rings.

This invention relates generally to through-silicon vias, and more particularly to structures and manufacturing methods of through-silicon vias with tapered profiles.

Since the invention of integrated circuits, the semiconductor industry has experienced continuous rapid growth due to constant improvements in the integration density of various electronic components (i.e., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density has come from repeated reductions in minimum feature size, allowing more components to be integrated into a given chip area.

These integration improvements are essentially two-dimensional (2D) in nature, in that the volume occupied by the integrated components is essentially on the surface of the semiconductor wafer. Although dramatic improvements in lithography have resulted in considerable improvements in 2D integrated circuit formation, there are physical limitations to the density that can be achieved in two dimensions. One of these limitations is the minimum size needed to make these components. Also, when more devices are put into one chip, more complex designs are required.

An additional limitation comes from the significant increase in the number and length of interconnections between devices as the number of devices increases. When the number and length of interconnections increase, both circuit RC delay and power consumption increase.

Among the efforts for resolving the above-discussed limitations, three-dimensional integrated circuit (3DIC) and stacked dies are commonly used. Through-silicon vias (TSV) are often used in 3DIC and stacked dies for connecting dies. FIGS. 1 and 2 illustrate a conventional method for forming TSVs. Referring to FIG. 1, silicon substrate 2 is provided, on which integrated circuits (not shown) are formed. Dielectric layers 6, in which metal lines and vias (not shown) are formed, are then formed layer-by-layer over silicon substrate 2. Photo resist 8 is then applied and patterned. Opening 10 is formed through dielectric layers 6, exposing silicon substrate 2. Silicon substrate 2 is then etched through opening 10, forming opening 12, as shown in FIG. 2. A glue layer and/or a diffusion barrier layer (not shown) are formed on the sidewalls and the bottom of openings 10 and 12. Copper (not shown) is then filled by plating to form a through-silicon via.

The conventional TSV formation process suffers drawbacks. Since openings 10 and 12 are very deep compared to their width, the glue layer and the diffusion barrier layer have poor coverage on sidewalls of openings 10 and 12. Furthermore, it is hard to form void-free TSVs. Accordingly, the plating current for filling copper into openings 10 and 12 has to be reduced in order to reduce the likelihood of voids in TSVs, and hence the throughput is reduced.

To solve the above-discussed problems, openings 10 and 12, particularly opening 12, preferably have tapered profiles with upper portions wider than lower portions. This may be achieved by adjusting the etching recipe to increase lateral etching. However, this approach causes severe undercuts 14 underlying dielectric layers 6. Undercuts 14 cause the breaking in the subsequently formed diffusion barrier layer and a seed copper layer, and hence adversely affect the subsequent plating of copper.

Accordingly, what is needed in the art is a TSV structure and method for forming the same that take advantage of tapered profile of TSVs, while at the same time not incurring serious undercuts.

In accordance with one aspect of the present invention, an integrated circuit structure includes a substrate; a through-silicon via (TSV) in the substrate, the TSV being tapered; a hard mask region extending from a top surface of the substrate into the substrate, wherein the hard mask encircles a top portion of the TSV; dielectric layers over the substrate; and a metal post extending from a top surface of the dielectric layers to the TSV, wherein the metal post comprises same materials as the TSV.

In accordance with another aspect of the present invention, an integrated circuit structure includes a substrate; a shallow trench isolation (STI) region extending from a top surface of the substrate into the substrate, the STI region forming a ring; a polysilicon ring on the STI region; low-k dielectric layers over the substrate and the polysilicon ring; and a conductive feature extending from a top surface of the low-k dielectric layers into the substrate. The conductive feature includes a first portion in the low-k dielectric layers, and a second portion penetrating through the polysilicon ring and the ring of the STI region.

In accordance with yet another aspect of the present invention, a method for forming an integrated circuit structure includes providing a substrate; forming a hard mask extending from a top surface of the substrate into the substrate; forming an etch stop plate on the hard mask; forming low-k dielectric layers over the substrate and the etch stop plate; and forming a conductive feature extending from a top surface of the low-k dielectric layers into the substrate. The conductive feature includes a first portion in the low-k dielectric layers, and a second portion penetrating through the etch stop plate and the hard mask.

In accordance with yet another aspect of the present invention, a method for forming an integrated circuit structure includes providing a substrate; forming an STI region extending from a top surface of the substrate into the substrate, wherein the STI region encircles a top portion of the substrate; forming a plate on the substrate and the STI region, wherein the plate covers the top portion of the substrate encircled by the STI region; forming dielectric layers over the substrate, the STI region and the plate; forming an opening in the dielectric layers using the plate as an etch stop layer, wherein an inner portion of the plate is exposed through the opening; and etching the plate and the substrate through the opening.

The advantageous features of the present invention include tapered TSVs, reduced undercuts, and improved sidewall coverage of diffusion barrier layers.

For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:

FIGS. 1 and 2 illustrate cross-sectional views of a conventional through-silicon via formation process; and

FIGS. 3 through 9 are cross-sectional views and top views of intermediate stages in the manufacturing of an embodiment of the present invention.

The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.

A novel through-silicon via (TSV) structure and the methods of forming the same are provided. The intermediate stages of manufacturing a preferred embodiment of the present invention are illustrated. The variations of the preferred embodiments are then discussed. Throughout the various views and illustrative embodiments of the present invention, like reference numbers are used to designate like elements.

Referring to FIG. 3A, substrate 20, which is preferably a silicon substrate, is provided. Substrate 20 may also be formed of other semiconductor materials containing group III, group IV, and/or group V elements. In addition, substrate 20 may be in the form of bulk semiconductor, strained semiconductor, and the like. Integrated circuits 22, which are symbolized using a transistor, may be formed at the surface of substrate 20.

Shallow trench isolation (STI) region 24 is formed in substrate 20, preferably by etching shallow trenches in substrate 20 and filling the trenches with an insulator. An exemplary insulator includes high-density plasma (HDP) silicon oxide. In an embodiment, STI region 24 is formed simultaneously with the formation of STI regions 25, which are used for isolating active devices. Alternatively, STI region 24 and STI regions 25 are separately formed so that STI region 24 may have an optimized thickness T1 different from the thickness of STI regions 25. In an exemplary embodiment, thickness T1 is less than about 1 μm, and more preferably between about 0.3 μm and about 0.4 μm. One skilled in the art will realize, however, that the dimensions recited throughout the description are merely examples, and can be scaled with the scaling of the formation technology. For simplicity, integrated circuits 22 and STI regions 25 are not shown in the following drawings.

FIG. 3B illustrates a top view of a portion of the structure shown in FIG. 3A. In the preferred embodiment, STI region 24 forms a ring encircling hole 26. The diameter D1 of hole 26 depends on the desired dimension of the resulting TSV. In an exemplary embodiment, diameter D1 is between about 20 μm and about 30 μm. In alternative embodiments, hole 26 may have other shapes, such as a square. Throughout the description, diameter D1 is alternatively referred to as a width.

Referring back to FIG. 3A, polysilicon plate 28 is formed on substrate 20 and STI region 24, and covering hole 26. The thickness T2 of polysilicon plate 28 is preferably small, for example, less than about 1 μm, and more preferably between about 0.3 μm and about 0.4 μm.

Polysilicon plate 28 preferably fully covers hole 26. Accordingly, polysilicon plate 28 is preferably a circular plate having diameter D2 of greater than the diameter D1 of hole 26. In addition, diameter D2 is less than the outer diameter D3 of STI region 24, although D2 may be greater than D3. A difference ΔD between D2 and D1 is preferably greater than about 10 μm.

Referring to FIG. 4A, interconnect structure 30 is formed. Interconnect structure 30 includes an etch stop layer (ESL, not shown) blanket formed over integrated circuits 22, substrate 20, STI region 24 and polysilicon plate 28. Inter-layer dielectric (ILD) 32 is formed over the ESL. Inter-metal dielectric (IMD) layers 34, which are preferably formed of low-k dielectric layers, are formed layer-by-layer over ILD 32, and metal lines and vias (not shown) are formed in IMD layers 34. Passivation layer 36 is formed over IMD layers 34. Additional ESLs (not shown) may be formed between IMD layers 34. The formation processes of interconnect structure 30 is well known in the art, and thus are not repeated herein.

Photo resist 40 is formed over interconnect structure 30, and is then patterned to form opening 42. Opening 42 extends through dielectric layers 32, 34 and 36, exposing polysilicon plate 28. In the formation of opening 42, polysilicon plate 28 acts as an etch stop layer. In an embodiment, opening 42 is substantially straight, which may be achieved by an anisotropic etching. In other embodiments, opening 42 has a substantially tapered profile, as is illustrated by broken lines 44. The tilt angle β is preferably less than about 89 degrees, and more preferably between about 87 degrees and about 88 degrees. The formation of the tapered profile may be achieved by making the etching partially anisotropic and partially isotropic.

FIG. 4B illustrates a top view of the structure shown in FIG. 4A. Opening 42 preferably has a same shape as hole 26. In the preferred embodiment, hole 26 is circular, and hence the top view of opening 42 is circular. Diameter D4 of opening 42 is preferably greater than diameter D1 of hole 26, but less than diameter D2 of polysilicon plate 28. More preferably, Diameter D4 is greater than diameter D1 by greater than about 10 μm.

Referring to FIG. 5, after the exposure of polysilicon plate 28 is detected, the etching process is changed to etch polysilicon plate 28. Substrate 20 and STI regions 24 are then exposed. Next, the etching is continued to etch exposed substrate 20, forming TSV opening 44, as is shown in FIG. 6. The etching of polysilicon plate 28 and substrate 20 may be performed in a single step or separate steps.

Preferably, there is a high etching selectivity, preferably greater than about 80 to 1 between substrate 20 (as well as polysilicon plate 28) and STI region 24. In addition, the etching recipe for etching substrate 20 is adjusted to at least maintain, or even increase, the selectivity. In an exemplary embodiment, the selectivity is about 80 to 1, which means if substrate 20 is etched by 80 μm, STI region 24 will only be etched by about 1 μm. As illustrated in FIG. 5, since portions of STI region 24 is exposed through opening 42, during the etching of substrate 20, the exposed portion of STI region 24 is also etched, as is shown in FIG. 6. However, the etching of STI region 24 is in a significant smaller rate then etching substrate 20. As a result, the exposed portions of STI region 24 act as a hard mask, preventing the underlying portion of substrate 20 from being etched. Due to the high selectivity, a ratio of the depth D1 of etched substrate 20 to depth D2 of etched STI region 24 is close to the selectivity.

It is appreciated that undercuts 46 may be formed under STI region 24. However, the etching process may be substantially anisotropic to reduce width W of undercuts 46. Also, undercuts 52 may be formed in polysilicon plate 28. However, since polysilicon plate 28 is thin, the width of undercuts 52 is limited. Furthermore, polysilicon plate 28 may have a diameter D2 only slightly greater than width D4 of opening 42 (refer to FIG. 4B), so that even if polysilicon plate 28 is fully etched, undercuts 52 in polysilicon plate 28 still have a small width.

Referring to FIG. 7, with the continued etching, eventually, the remaining exposed portions 48 (refer to FIG. 6) of STI region 24 are etched through. As a result, the portions of substrate 20 protected by STI portions 48 are exposed to the etching. In an exemplary embodiment, wherein thickness T1 of STI region 24 is 0.3 μm and the selectivity is 80 to 1, the etch-through of STI region 24 occurs when the depth D1 of opening 44 is about 0.3 μm*80, which is about 24 μm. In the continued etching of substrate 20 following the etch-through of STI region 24, depth D1 of TSV opening 44 increases. Simultaneously, the previously masked portion of substrate 20 is etched gradually. The resulting opening 44 will thus be tapered. Preferably, the tilt angle α of the sidewalls of opening 44 is preferably between about 87 degrees and about 88 degrees. Tilt angle α may be adjusted by adjusting the widths D1, D2, D4 (refer to FIG. 4B), and the etching recipe. One skilled in the art will be able to find optimum values through experiments.

Advantageously, when the remaining STI portions 48 (refer to FIG. 6) are substantially fully etched, the previously formed undercuts 46 are eliminated since undercuts 46 are merged into opening 44. This significantly reduces the width of the overall undercut. As a result, opening 44 has a desirable tapered profile and significantly reduced undercuts. After Opening 44 is formed, photo resist 40 is removed.

FIG. 8 illustrates the filling of openings 42 and 44. Diffusion barrier layer 60 is preferably blanket formed, covering the sidewalls of openings 42 and 44 and the bottom of opening 44. A seed layer (not shown), preferably including copper, is then formed on diffusion barrier layer 60. Diffusion barrier layer 60 may be formed using physical vapor deposition (PVD), and the seed layer may be formed using either PVD or electroless plating. Advantageously, with a tapered profile and reduced undercut, the coverage of diffusion barrier layer 60 and the seed layer is more uniform (conformal).

Next, copper is filled into the remaining portion of openings 42 and 44, preferably using electro plating. TSV 64 is thus formed in substrate 20 and metal post 66 is formed in dielectric layers 32, 34, and 36. Advantageously, with more uniformly formed diffusion barrier layer 60 and the seed layer, greater plating current can be conducted to the lower portion of opening 44, and the likelihood of forming void in TSV 64 is significantly reduced.

Next, as shown in FIG. 9, the backside of substrate 20 is polished, exposing TSV 64, and the packaging process may thus be performed.

Referring back to FIG. 3A, with the teaching of the previously discussed embodiments, it is appreciated that plate 28 may be formed of materials other than polysilicon, providing the etching selectivity between the materials of plate 28 and dielectric features 24, 30, 32 and 34 is high. Exemplary materials for forming plate 28 include silicon nitride film and poly salicide.

Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Ching, Kai-Ming, Kuo, Chen-Cheng, Chen, Chen-Shien, Chen, Chih-Hua

Patent Priority Assignee Title
10049981, Sep 08 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Through via structure, semiconductor device and manufacturing method thereof
10050018, Feb 26 2016 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
10050024, Jun 17 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor package and manufacturing method of the same
10090284, May 17 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
10115675, Jun 28 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor device and method of fabricating a packaged semiconductor device
10120971, Aug 30 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
10147704, May 17 2016 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor devices and methods of manufacturing thereof
10153218, Nov 29 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure and manufacturing method thereof
10153320, Nov 29 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor device and method of forming the same
10157859, Jul 14 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
10157885, Jul 29 2016 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Package structure having magnetic bonding between substrates
10163709, Feb 13 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
10163805, Jul 01 2016 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Package structure and method for forming the same
10163856, Oct 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
10163862, Jun 29 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
10170404, Aug 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
10170429, Nov 28 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure including intermetallic compound
10170444, Jun 30 2015 Taiwan Semiconductor Manufacturing Company Ltd Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
10229901, Jun 27 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
10269682, Oct 09 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
10269732, Jul 20 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Info package with integrated antennas or inductors
10269739, Jul 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
10269761, Jan 07 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
10297579, May 31 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package structure with epoxy flux residue
10319701, Jan 07 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
10332841, Jul 20 2016 Taiwan Semiconductor Manufacturing Company, Ltd System on integrated chips and methods of forming the same
10340203, Feb 07 2014 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
10340258, Apr 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
10347607, May 17 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
10475769, Jun 23 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor package and manufacturing method of the same
10497660, Feb 26 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
10510604, Feb 13 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
10510605, Jul 29 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
10515823, Dec 15 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
10515906, Jan 26 2016 Taiwan Semiconductor Manufacturing Company, Ltd Forming large chips through stitching
10515915, Jul 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
10522486, Oct 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
10522514, Feb 26 2016 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
10535537, Apr 13 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
10535632, Sep 02 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor package structure and method of manufacturing the same
10644229, Sep 18 2015 Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd Magnetoresistive random access memory cell and fabricating the same
10685907, Feb 07 2014 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
10685911, Jun 30 2016 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor package and manufacturing method of the same
10720360, Jul 29 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
10763198, Aug 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
10840199, Jul 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
10867975, Apr 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
10879183, Jun 22 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
10923397, Nov 29 2018 GLOBALFOUNDRIES U S INC Through-substrate via structures in semiconductor devices
10964667, Oct 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
10985137, Oct 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuit structure and method of forming
11004771, Oct 09 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling devices, packaged semiconductor devices, and methods of packaging semiconductor devices
11031363, Feb 26 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
11066297, May 29 2015 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
11069625, Jul 01 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming package structure
11087994, Dec 15 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
11133304, Nov 27 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Packaging scheme involving metal-insulator-metal capacitor
11172142, Sep 25 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
11201122, Sep 27 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device with reduced warpage and better trench filling performance
11239201, Jan 07 2015 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit (3DIC) structure
11329022, Jun 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
11367658, Jul 29 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor die singulation and structures formed thereby
11424199, Oct 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
11444038, Jan 26 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
11502245, Sep 18 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetoresistive random access memory cell and fabricating the same
11527417, Apr 13 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
11532565, Jul 20 2016 Taiwan Semiconductor Manufacturing Co., Ltd. System on integrated chips and methods of forming the same
11557532, Aug 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
11587908, Feb 26 2016 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
11626378, Feb 26 2015 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
11685648, May 29 2015 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
11688639, Feb 13 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
7825024, Nov 25 2008 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
8159071, Oct 21 2008 Samsung Electro-Mechanics Co., Ltd. Semiconductor package with a metal post
8242604, Oct 28 2009 International Business Machines Corporation Coaxial through-silicon via
8394715, Oct 28 2009 International Business Machines Corporation Method of fabricating coaxial through-silicon via
8409981, Oct 21 2008 Samsung Electro-Mechanics Co., Ltd. Semiconductor package with a metal post and manufacturing method thereof
8563403, Jun 27 2012 GLOBALFOUNDRIES U S INC Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
8716104, Dec 20 2012 United Microelectronics Corp. Method of fabricating isolation structure
8822336, Jun 16 2011 United Microelectronics Corp. Through-silicon via forming method
8828745, Jul 06 2011 United Microelectronics Corp. Method for manufacturing through-silicon via
8841754, Mar 22 2012 Samsung Electronics Co., Ltd. Semiconductor devices with stress relief layers
8853848, Jan 24 2011 Industrial Technology Research Institute Interconnection structure, apparatus therewith, circuit structure therewith
8884398, Apr 01 2013 United Microelectronics Corp. Anti-fuse structure and programming method thereof
8912844, Oct 09 2012 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
8916471, Aug 26 2013 Marlin Semiconductor Limited Method for forming semiconductor structure having through silicon via for signal and shielding structure
8987140, Apr 25 2011 Applied Materials, Inc. Methods for etching through-silicon vias with tunable profile angles
9024416, Aug 12 2013 United Microelectronics Corp. Semiconductor structure
9035457, Nov 29 2012 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
9048223, Sep 03 2013 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
9105628, Mar 29 2012 Through substrate via (TSuV) structures and method of making the same
9117804, Sep 13 2013 United Microelectronics Corporation Interposer structure and manufacturing method thereof
9123730, Jul 11 2013 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
9190371, Dec 21 2010 SDEP CORP Self-organizing network with chip package having multiple interconnection configurations
9245790, Jan 23 2013 GLOBALFOUNDRIES U S INC Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
9287173, May 23 2013 United Microelectronics Corp. Through silicon via and process thereof
9318376, Dec 15 2014 NXP USA, INC Through substrate via with diffused conductive component
9343359, Dec 25 2013 United Microelectronics Corp. Integrated structure and method for fabricating the same
9406561, Apr 20 2009 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
9502272, Dec 29 2014 Taiwan Semiconductor Manufacturing Company Devices and methods of packaging semiconductor devices
9520385, Jun 29 2015 Taiwan Semiconductor Manufacturing Company, Ltd Package structure and method for forming same
9536865, Jul 23 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection joints having variable volumes in package structures and methods of formation thereof
9570410, Jul 31 2015 Taiwan Semiconductor Manufacturing Company Ltd; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Methods of forming connector pad structures, interconnect structures, and structures thereof
9570431, Jul 28 2015 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor wafer for integrated packages
9577035, Aug 24 2012 Newport Fab, LLC Isolated through silicon vias in RF technologies
9589941, Jan 15 2016 Taiwan Semiconductor Manufacturing Company, Ltd Multi-chip package system and methods of forming the same
9601410, Jan 07 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
9633958, Jan 30 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad surface damage reduction in a formation of digital pattern generator
9659863, Dec 01 2014 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacture thereof
9659878, Oct 20 2015 Taiwan Semiconductor Manufacturing Company, Ltd Wafer level shielding in multi-stacked fan out packages and methods of forming same
9691695, Aug 31 2015 Taiwan Semiconductor Manufacturing Company, Ltd Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
9691723, Oct 30 2015 Taiwan Semiconductor Manufacturing Company Ltd Connector formation methods and packaged semiconductor devices
9741669, Jan 26 2016 Taiwan Semiconductor Manufacturing Company, Ltd Forming large chips through stitching
9748212, Apr 30 2015 Taiwan Semiconductor Manufacturing Company Ltd Shadow pad for post-passivation interconnect structures
9773757, Jan 19 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
9786519, Apr 13 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
9793246, May 31 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
9812405, Oct 17 2014 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor package and manufacturing method of the same
9818697, Nov 11 2013 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor package manufacturing method
9842829, Apr 29 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
9859258, May 17 2016 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device and method of manufacture
9870975, Jul 14 2016 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Chip package with thermal dissipation structure and method for forming the same
9875972, Jul 14 2016 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
9875982, Jun 01 2016 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method thereof
9881903, May 31 2016 Taiwan Semiconductor Manufacturing Company, Ltd Package-on-package structure with epoxy flux residue
9893046, Jul 08 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Thinning process using metal-assisted chemical etching
9911623, Dec 15 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Via connection to a partially filled trench
9911724, Jan 15 2016 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip package system and methods of forming the same
9922939, Oct 20 2015 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level shielding in multi-stacked fan out packages and methods of forming same
9935067, Jul 31 2015 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Methods of forming connector pad structures, interconnect structures, and structures thereof
9935084, Dec 29 2014 Taiwan Semiconductor Manufacturing Company Devices and methods of packaging semiconductor devices
9941186, Jun 30 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Method for manufacturing semiconductor structure
9966360, Jul 05 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
9969614, May 29 2015 Taiwan Semiconductor Manufacturing Company, Ltd MEMS packages and methods of manufacture thereof
9984969, Dec 01 2014 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, multi-die packages, and methods of manufacure thereof
Patent Priority Assignee Title
6399472, Oct 29 1997 Fujitsu Semiconductor Limited Semiconductor device having a fuse and a fabrication method thereof
6448657, Apr 21 1999 Applied Materials, Inc. Structure for reducing junction spiking through a wall surface of an overetched contact via
20050017322,
20070262464,
/////
Executed onAssignorAssigneeConveyanceFrameReelDoc
May 11 2007CHEN, CHEN-SHIENTaiwan Semiconductor Manufacturing Company, LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0194560917 pdf
May 11 2007KUO, CHEN-CHENGTaiwan Semiconductor Manufacturing Company, LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0194560917 pdf
May 11 2007CHING, KAI-MINGTaiwan Semiconductor Manufacturing Company, LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0194560917 pdf
May 11 2007CHEN, CHIH-HUATaiwan Semiconductor Manufacturing Company, LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0194560917 pdf
May 16 2007Taiwan Semiconductor Manufacturing Company, Ltd.(assignment on the face of the patent)
Date Maintenance Fee Events
Dec 27 2012M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jan 05 2017M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Mar 08 2021REM: Maintenance Fee Reminder Mailed.
Mar 17 2021M1553: Payment of Maintenance Fee, 12th Year, Large Entity.
Mar 17 2021M1556: 11.5 yr surcharge- late pmt w/in 6 mo, Large Entity.


Date Maintenance Schedule
Jul 21 20124 years fee payment window open
Jan 21 20136 months grace period start (w surcharge)
Jul 21 2013patent expiry (for year 4)
Jul 21 20152 years to revive unintentionally abandoned end. (for year 4)
Jul 21 20168 years fee payment window open
Jan 21 20176 months grace period start (w surcharge)
Jul 21 2017patent expiry (for year 8)
Jul 21 20192 years to revive unintentionally abandoned end. (for year 8)
Jul 21 202012 years fee payment window open
Jan 21 20216 months grace period start (w surcharge)
Jul 21 2021patent expiry (for year 12)
Jul 21 20232 years to revive unintentionally abandoned end. (for year 12)