A vapor deposition reactor includes a chamber filled with a first material, and at least one reaction module in the chamber. The reaction module may be configured to make a substrate pass the reaction module through a relative motion between the substrate and the reaction module. The reaction module may include an injection unit for injecting a second material to the substrate. A method for forming thin film includes positioning a substrate in a chamber, filling a first material in the chamber, moving the substrate relative to a reaction module in the chamber, and injecting a second material to the substrate while the substrate passes the reaction module.

Patent
   8470718
Priority
Aug 13 2008
Filed
Aug 11 2009
Issued
Jun 25 2013
Expiry
Feb 02 2032
Extension
905 days
Assg.orig
Entity
Large
258
85
EXPIRED
1. A vapor deposition reactor for depositing material on a substrate, comprising:
a chamber filled with a first material and configured to receive a substrate, the substrate exposed to the first material in the chamber to adsorb the first material; and
a reaction module comprising an injection unit placed within the chamber to face the substrate and inject a second material onto the substrate, the substrate deposited with a layer of material by reaction of the second material with the first material adsorbed in the substrate or substitution of a portion of the first material adsorbed in the substrate with a portion of the second material, the substrate exposed to the second material as the substrate passes the injection unit by a relative motion between the substrate and the reaction module.
2. The vapor deposition reactor according to claim 1, wherein the reaction module further comprises:
a first exhaust unit for removing a portion of an adsorption layer of the first material adsorbed in a surface of the substrate by injecting a purge gas; and
a second exhaust unit for removing a portion of an adsorption layer of the second material adsorbed in the surface of the substrate by injecting the purge gas.
3. The vapor deposition reactor according to claim 2, wherein the first exhaust unit, the injection unit and the second exhaust unit are placed sequentially in the reaction module.
4. The vapor deposition reactor according to claim 2, wherein each of the first exhaust unit and the second exhaust unit comprises:
a purge port for injecting the purge gas; and
a pumping port for discharging, from the chamber, the purge gas and the first material or the second material desorbed from the substrate by the purge gas.
5. The vapor deposition reactor according to claim 4, wherein the purge gas is selected from a group consisting of N2, Ar, He and a combination thereof.
6. The vapor deposition reactor according to claim 1, wherein the chamber comprises a support for holding the substrate, the support rotating in the chamber.
7. The vapor deposition reactor according to claim 1, wherein the chamber comprises a controller for controlling pressure or flow rate of the first material into the chamber and pressure or flow rate of the second material injected onto the substrate.
8. The vapor deposition reactor according to claim 7, wherein the controller comprises vacuum pumps.
9. The vapor deposition reactor according to claim 1, wherein the controller comprises an apparatus for controlling a speed of the relative motion between the substrate and the reaction module.
10. The vapor deposition reactor according to claim 1, wherein the chamber comprises a heater for controlling temperature of an interior of the chamber.
11. The vapor deposition reactor according to claim 1, wherein the reaction module is configured to isolate the substrate passing the injection unit from the first material in the chamber.
12. The vapor deposition reactor according to claim 1, wherein the injection unit is configured to apply plasma, ultrahigh frequency wave or UV light to the substrate.
13. The vapor deposition reactor according to claim 1, wherein the first material is selected from a group consisting of H2O, H2O2, O2, N2O, O3, O* radical, NH3, NH2—NH2, N2, N* radical, CH4, C2H6, H2, H* radical and a combination thereof.
14. The vapor deposition reactor according to claim 1, wherein the second material is selected from a group consisting of a group IV compound, a III-V compound, a II-VI compound, a Ni-based compound, a Co-based compound, a Cu-based compound, an Al-based compound, a Ti-based compound, a Hf-based compound, a Zr-based compound, a Ta-based compound, a Mo-based compound, a W-based compound, a Si-based compound, a Zn-based compound, and a combination thereof.

This application claims priority under 35 U.S.C. §119(e) to U.S. Provisional Patent Application No. 61/088,674, filed on Aug. 13, 2008, which is incorporated by reference herein in its entirety.

1. Field of Art

This invention relates to a vapor deposition reactor and a method for forming thin film on a substrate.

2. Description of the Related Art

Semiconductor materials include silicon-based semiconductors such as Si and SiGe, metal oxide semiconductors such as ZnO, group III-V compound semiconductors such as GaAs, GaP, GaN, AlGaAs and InP; and group II-VI compound semiconductors such as CdSe, CdTe, ZnS and CdHgTe. Semiconductor devices are manufactured using these as substrate material, forming metal films or insulating films on the substrate material, and carrying out processes such as photolithography, etching, cleaning and thin film deposition.

When fabricating a metal-oxide-semiconductor field-effect transistor (MOSFET) that is widely used in highly integrated circuits, an insulating film is formed on a semiconductor substrate. The insulating film is used as the gate insulating film for the transistor. Then, a metal film is formed on the substrate so that voltage or current required for driving the device can be applied. The reaction between the substrate and the metal film or the insulating film is important. In some cases, even a slight reaction may change properties of semiconductor devices. Therefore, a precise interface control is required to fabricate properly functioning semiconductor devices.

Deposition techniques associated with such fabrication processes are gradually shifting from chemical vapor deposition (CVD) such as low-pressure CVD (LPCVD) process (performed in a furnace) to atomic layer deposition (ALD) process. Generally, ALD process consists of four stages: (i) injection of a source precursor, (ii) removal of a physical adsorption layer, (iii) injection of a reactant precursor, and (iv) removal of a physical adsorption layer.

Because the source precursor is deposited on the semiconductor substrate after removing natural oxide films from the semiconductor substrate using HF or other chemical substances, the source precursor comes in direct contact with the semiconductor substrate. While the source precursor remains in contact with the substrate, mutual diffusion or formation of unwanted interface may occur on the surface of the semiconductor substrate due to the reaction between the substrate and the source precursor. In case the semiconductor device has a sufficiently large design rule, such phenomena has minimal effect on the properties of the semiconductor device. However, if the design rule is about 32 nm or smaller, as in nano devices or quantum devices, the reactions at the interface or the unwanted formation of interface may become relevant.

FIG. 1 is a flowchart illustrating ALD process according to a conventional technique. Referring to FIG. 1, ALD process may include: loading a substrate (S11), passing the substrate by a source precursor injection module to inject a source precursor (S12), passing the substrate by a purge/pumping module to remove a physical adsorption layer from the source precursor (S13), passing the substrate by a reactant precursor supply module to inject a reactant precursor (S14), and passing the substrate by a purge/pumping module to remove a physical adsorption layer from the reactant precursor (S15). The above steps may be repeated until a layer with desired final thickness is obtained (S16). To perform these steps, an expensive valve that sequentially supplies the source precursor, purge gas, reactant precursor, and a purge gas to the substrate is needed.

The reactors used for CVD generally inject a source gas from a showerhead type source injector that is located above the substrate. The reactors for ALD process may be classified largely into two types: (i) a cross-flow type (or travelling-wave type) that injects a reactant precursor and a purge gas in a direction parallel to the surface of the substrate, and (ii) a showerhead type that injects the reactant precursor and the purge gas in a direction perpendicular onto the surface of the substrate.

Korean Patent No. 10-0760428 discloses an example of a vapor deposition reactor for ALD. The vapor deposition reactor of the Korean Patent No. 10-0760428 is designed with a unit module capable of forming an atomic layer. The unit module includes an injection unit and an exhaust unit for a source material (which are collectively referred to as a source module), and an injection unit and an exhaust unit for a reactant (which are collectively referred to as a reactant module). The source module and the reactant module are disposed adjacent to each other.

With a plurality of unit modules, a plurality of atomic layers are obtained with each pass of the substrate across the unit modules. If the unit modules are disposed with certain intervals, chamber atmosphere may exist between the unit modules. The chamber is maintained in a vacuum state using a vacuum pump to remove the chamber atmosphere. As the size of the apparatus increases and more substrates are simultaneously loaded, the interior capacity of the chamber increases accordingly. The increased capacity in turn requires a higher-capacity vacuum pump to maintain the interior of the chamber in the vacuum state.

Embodiments provide a vapor deposition reactor that fills a chamber with a material and prevents a substrate from being exposed to chamber atmosphere as the substrate passes a reaction module. The vapor deposition reactor may increase area efficiency of the vapor deposition reactor by minimizing the area or volume of the vapor reactor, and simplify vapor deposition process. The vapor deposition reactor may produce a thin film on the substrate.

In one embodiment, the vapor deposition reactor includes a chamber filled with a first material, and at least one reaction module contained in the chamber. Relative motion between the substrate and the reaction module causes the substrate to pass by the reaction module. The reaction module may include an injection unit for injecting a second material onto the substrate.

In one embodiment, the reaction module further includes a first exhaust unit for removing a portion of an adsorption layer of the first material adsorbed in the surface of the substrate, and a second exhaust unit for removing a portion of an adsorption layer of the second material adsorbed in the surface of the substrate. Each of the first exhaust unit and the second exhaust unit includes a purge port for injecting a purge gas, and a pumping port for discharging, from the chamber, the purge gas and the adsorption layer desorbed from the substrate.

Embodiments also relate to a method for forming a thin film. A substrate is placed in a chamber. The chamber is filled with a first material. The substrate is moved relative to a reaction module in the chamber. A second material is injected onto the substrate as the substrate passes the reaction module.

In one embodiment, before or after the reaction module injects the second material onto the substrate, a portion of the adsorption layer of the first material on the substrate and a portion of the adsorption layer of the second material on the substrate are removed.

FIG. 1 is a flowchart illustrating atomic layer deposition (ALD) process, according to a conventional process.

FIG. 2 is a schematic perspective view of a vapor deposition reactor, according to one embodiment.

FIG. 3A is a cross-sectional view of a reaction module of a vapor deposition reactor, according to one embodiment.

FIG. 3B is a partially enlarged view of the vapor deposition reactor of FIG. 3A, according to one embodiment.

FIG. 4 is a schematic perspective view of a reaction module of a vapor deposition reactor, according to one embodiment.

FIG. 5 is a side cross-sectional view of an injection unit of a vapor deposition reactor, according to one embodiment.

FIGS. 6A and 6B are side cross-sectional views of an exhaust unit of a vapor deposition reactor, according to one embodiment.

FIG. 6C is a plan view of an exhaust unit of a vapor deposition reactor, according to one embodiment.

FIG. 6D is a bottom view of an exhaust unit of a vapor deposition reactor, according to one embodiment.

FIGS. 7A and 7B are cross-sectional views of a reaction module of a vapor deposition reactor, according to embodiments.

FIG. 8 is a cross-sectional view of a vapor deposition reactor, according to another embodiment.

FIGS. 9A and 9B are cross-sectional views of a vapor deposition reactor, according to another embodiment.

FIG. 10 is a cross-sectional view of a vapor deposition reactor, according to another embodiment.

FIG. 11 is a flowchart illustrating a method for forming thin film, according to one embodiment.

FIG. 12 is a timing diagram of a process for forming a thin film, according to one embodiment.

FIG. 13 is a diagram illustrating reaction between materials, according to one embodiment.

Embodiments are described herein with reference to the accompanying drawings. Principles disclosed herein may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the features of the embodiments.

The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of this disclosure. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Furthermore, the use of the terms a, an, etc. does not denote a limitation of quantity, but rather denotes the presence of at least one of the referenced item. The use of the terms “first”, “second”, and the like does not imply any particular order, but they are included to identify individual elements. Moreover, the use of the terms first, second, etc. does not denote any order or importance, but rather the terms first, second, etc. are used to distinguish one element from another. It will be further understood that the terms “comprises” and/or “comprising”, or “includes” and/or “including” when used in this specification, specify the presence of stated features, regions, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of at least one other features, regions, integers, steps, operations, elements, components, and/or groups thereof.

In the drawings, like reference numerals in the drawings denote like elements. The shape, size and regions, and the like, of the drawing may be exaggerated for clarity.

FIG. 2 is a schematic perspective view of a vapor deposition reactor according to one embodiment. The vapor deposition reactor may include, among others, a chamber 10 and at least one reaction module 20 in the chamber 10. The at least one substrate 1 is loaded on a support 100 in the chamber 10. The interior of the chamber 10 may be filled with a first material. If the vapor deposition reactor is used for atomic layer deposition (ALD), the first material may be a reactant precursor.

In general, the reactant precursor is a gas with high vapor pressure such as H2O, O2, O3, NH3 and H2. Hence, the reactant precursor may diffuse quickly and uniformly within confined space such as the interior of the chamber 10. Accordingly, the reactant precursor may be uniformly adsorbed in the surface of the substrate 1 without the need for any specially designed injection apparatus. By omitting the injection apparatus, the size of the reactor may be reduced and more reactors may be installed on the same area (footprint). In this way, the throughput of the reactor may be increased, reducing cost and effort associated with designing an injection apparatus of the reactant precursor.

The first material may be filled in the chamber 10 with a predetermined flow rate or pressure. For this purpose, a controller (not shown) for controlling the flow rate or pressure of the first material may be equipped in the chamber 10. Further, an inert gas such as Ar may be used as a carrier gas to control the flow rate of the first material and the pressure inside the chamber 10.

If needed to lower the base vacuum level of the chamber 10 to 10−3 Torr or lower pressure to form a thin film (e.g., a metal film) susceptible to residual oxygen, a vacuum pump such as a turbo-molecular pump (TMP) may be equipped in the chamber 10. Further, because the temperature of the substrate 1 and the first material affects the reaction, a heating apparatus (not shown) may be equipped to control the temperature inside the chamber 10. When the heating apparatus is disposed below the chamber 10 to heat the substrate 1 indirectly by heating the susceptor 101 in the support 100, the space used for deposition and the space for heating apparatus are separated by the support 100. The heating apparatus may be purged by injecting an inert gas such as Ar such that the first and second materials used for deposition do not flow into the heating apparatus. The pressure of the injected purge gas may be controlled to be not lower than that of the space used for deposition so that the purge gas does not deteriorate deposition properties.

Although the chamber 10 illustrated in FIG. 2 has a cylindrical shape, this is merely illustrative. The chamber 10 may have any other arbitrary shape as long as the chamber 10 can accommodate the substrate 1 and the reaction module 20. The shape of the substrate 1 is also not limited to the disc shape illustrated in FIG. 2, but may be any arbitrary shape.

The at least one reaction module 20 may be fixed inside the chamber 10 but the support 100 holding the substrate 1 may rotate. The rotating speed of the support 100 may be constant. Alternatively, the rotating speed of the support 100 may be controlled using a computing device to vary the rotating speed depending on positions. As the support 100 rotates, the substrate 1 may pass below the reaction module 20. In another embodiment, the substrate 1 may be fixed and the reaction module 20 may be rotated to generate a relative motion between the substrate 1 and the reaction module 20.

In the vapor deposition reactor of the above embodiment, the relative motion between the substrate 1 and the reaction module 20 is rotation. In other embodiments, the relative motion between the substrate 1 and the reaction module 20 may be a linear or reciprocal motion.

While the substrate 1 passes below the reaction module 20, the substrate 1 may be separated from the bottom surface of the reaction module 20 by a predetermined distance to maintain a non-contact state. The substrate 1 passing below the reaction module 20 may be isolated from the first material atmosphere in the chamber 10 or may be in minimal contact with the first material. For this purpose, the substrate 1 and the bottom surface of the reaction module 20 may approach a proximate distance. In one embodiment, the reaction module 20 is equipped with a gas curtain or an exhaust device at its edge. In this way, the reaction module is isolated from material in other parts of the chamber 10.

The reaction module 20 may include, among other components, (i) a first section for removing and discharging a physical adsorption layer of the first material on the substrate 1, and (ii) a second section for forming a thin film by injecting a second material onto the substrate 1. The second section may also remove and discharge a physical adsorption layer of the second material absorbed in the substrate 1. The exhaust lines for each of these sections are illustrated as exhaust units 201, 203 in the reaction module 20. During discharge, the first and second materials are not mixed. Each of the first and second material may be accumulated before discharging. That is, materials from the exhaust unit 201 of each of the reaction module 20 may be accumulated before discharging. Likewise, materials from the exhaust unit 203 of each of the reaction module 20 may be accumulated before discharging.

For example, the first material in the chamber 10 may be a reactant precursor, and the second material injected by the reaction module 20 may be a source precursor. In this way, an atomic layer is formed on the substrate 1 as the substrate passes below the reaction module 20.

The first material (i.e., the reactant precursor) may be a material for obtaining metal, oxide, nitride, carbide or semiconductor material from a chemical source. For example, the first material may include H2O, H2O2, O2, N2O, O3, O* radical, NH3, NH2—NH2, N2, N* radical, organic carbon compounds such as CH4, C2H6, etc., H2, H* radical, or other suitable materials. The first material may also include a combination of two or more of these materials.

The second material (i.e. the source precursor) may be a material capable of forming a thin film on the substrate 1 by reaction and/or substitution with the first material. A variety of materials may be used as the source precursor depending on the kind of thin film formed on the substrate. For example, in case the thin film is made of a semiconductor, the source precursor may be group IV compounds, III-V compounds, II-VI compounds, or the like. In case the thin film is made of a metal, the source precursor may be Ni-based compounds, Co-based compounds, Al-based compounds, Ti-based compounds, Hf-based compounds, Zr-based compounds, Ta-based compounds, Mo-based compounds, W-based compounds, or compounds including above materials and Si. In case the thin film is made of a dielectric or a conductive dielectric, the source precursor may be Ni-based compounds, Zn-based compounds, Cu-based compounds, Co-based compounds, Al-based compounds, Si-based compounds, Hf-based compounds, Ti-based compounds, Zr-based compounds, Ta-based compounds, or the like. The source precursor may include a combination of two or more of these materials.

For example, Si-based compounds used as the second material may include SiH4, SiH2Cl2, or the like. Ti-based compounds used as the second material may include TiCl4, or the like. Al-based compounds used as the second material may include trimethylaluminum (TMA), or the like. Hf-based compounds used as the second material may include tetrakis-ethylmethylaminohafnium (TEMAHf), or the like. Zr-based compounds used as the second material may include tetrakis-ethylmethylaminozirconium (TEMAZr), or the like. The kind of the second materials is not limited to these materials. Other materials not listed herein may also be used depending on the type of the final thin film.

Further, the first material (i.e., the reactant precursor) may be in the form of plasma of these materials, or may be applied along with light such as UV light. Even when the reactant precursor is decomposed by applying plasma, radical, or photon, it is not likely that the byproduct remains in the final thin film or the property of the thin film is deteriorated or degraded. If the reactant precursor is activated by such energy, a sufficient adsorption of molecules may be attained even when Si-based compounds or TiCl4, which do not readily form a thin film, are used as a source precursor. As a result, the rate of thin film deposition may be increased and the surface treatment or interface treatment of the substrate 1 may be facilitated.

The above vapor deposition reactor may be applied to the so-called multi-wafer ALD reactor for loading a plurality of substrates 1. The vapor deposition reactor may be effective when a plurality of precursor injection apparatuses are required. By exposing the substrate 1 first to the reactant precursor and then adsorbing the source precursor during the process of atomic layer deposition, the reaction between the surface of the substrate 1 and the source precursor may be minimized and highly reliable apparatus and process can be obtained.

FIG. 3A is a cross-sectional view of a vapor deposition reactor illustrating a substrate 1 and a reaction module 20 adjacent to each other, according to one embodiment. A substrate 1 fixed by a susceptor 101 of a support 100 moves from the left to the right. That is, the substrate 1 passes below the reaction module 20 from the left to the right. Since the substrate 1 is exposed to first material atmosphere in a chamber 10, a physical and/or chemical adsorption layer of a first material may be formed on the substrate 1 before the substrate 1 passes below the reaction module 20.

In one embodiment, the chamber 10 includes a channel 115 at the region adjacent to the substrate 1. In this case, the first material may be filled in the channel 115, and the remaining region of the chamber 10 excluding the channel 115 may be filled with a filler 110. The filler 110 may be the same material constituting the outer wall of the chamber 10. Such a configuration is economically advantageous because the amount of the first material needed to fill the interior of the chamber 10 may be minimized.

The reaction module 20 may include an exhaust unit 201, an injection unit 202 and an exhaust unit 203. When the moving substrate 1 is below the exhaust unit 201 of the reaction module 20, the physical adsorption layer of the first material on the substrate 1 is removed by the exhaust unit 201. By injecting a purge gas onto the substrate 1 and pumping the purge gas out of the chamber 10, the exhaust unit 201 may remove the physical adsorption layer of the first material together with the purge gas. As a result, only the chemical adsorption layer of the first material remains on the surface of the substrate 1. In one embodiment, the physical adsorption layer of the first material may be partially removed such that some portion of the physical adsorption layer remains on the substrate 1.

The purge gas may be an inert gas such as N2 gas, Ar gas, He gas, or other suitable materials. Further, the purge gas may include a combination of two or more of these materials.

As the substrate 1 moves to the right, the substrate 1 is placed below the injection unit 202. The injection unit 202 injects a second material to the substrate 1. In one embodiment, the injection unit 202 is a showerhead type injector having a rectangular shape. Alternatively, the injection unit 202 is a pie-shaped injector that accounts for the different angular velocities of inner portions and outer portions of the substrate as the support 100 rotates. By using the pie-shaped injector, the uniformity of the thin film may be improved. The second material injected by the injection unit 202 is a material for forming a thin film by reacting with and/or substituting the first material. For example, if the vapor deposition reactor is used for ALD, the first material may be a reactant precursor and the second material may be a source precursor.

If the substrate 1 on which thin film is formed by the injection of the second material further moves to the right and is placed below the exhaust unit 203, the adsorption layer of the second material is partially removed by the exhaust unit 203 by injection and pumping of a purge gas. Because the configuration and function of the exhaust unit 203 are essentially the same as those of exhaust unit 201, a detailed description omitted for the purpose of brevity.

By the above process, purge/pumping, injection of the second material, and purge/pumping are performed sequentially as the substrate 1 passes below the reaction module 20. Since the substrate 1 is exposed to the first material atmosphere in the chamber 10 before the substrate 1 passes the reaction module 20, injection of the first material, purge/pumping, injection of the second material, and purge/pumping may be performed while the substrate 1 is loaded in the chamber 10 and passes below the reaction module 20. As a result, a thin film is formed on the substrate 1.

After passing below the reaction module 20, the substrate 1 is exposed again to the first material atmosphere in the chamber 10. Hence, a physical and/or chemical adsorption layer of the first material may be formed again on the substrate 1. In this state, as the substrate 1 is passed below another reaction module 20, another thin film is formed on top of the previously formed thin film. For example, in the exemplary embodiment of FIG. 2, a substrate 1 passes below four reaction modules 20 during one full rotation of the support 100. Therefore, four thin film layers are formed on the substrate 1 during one rotation of the support 100.

In one embodiment, the substrate 1 itself may be rotated around its own axis while the support 100 also rotates. The rotation direction of the substrate 1 may be the same as or be opposite to the rotational direction of the support 100.

FIG. 3B is an enlarged cross-sectional view of the substrate 1 and the reaction module 20 of FIG. 3A for describing parameters related to the thin film formation, according to one embodiment. The parameters related to formation of the thin film include, for example, aperture x1 of the exhaust unit 201, aperture x2 of the injection unit 202, aperture x3 of the exhaust unit 203, distances z1-z3 from the substrate 1 to different parts of the exhaust unit 201, volumes obtained by multiplying cross sections by length (x1×h1, x2×h2, x3×h3), and widths L1-L4 of first to fourth guards separating each portion of the reactor. In addition, moving speed and temperature of the substrate 1, flow rate or pressure of the first material, the second material and the purge gas, pumping speed of the exhaust units 201, 203, or the like may also affect the reaction.

The time for each process of forming a thin film may be calculated, as described herein. If the moving speed of the substrate 1 is v, the time during which the first material is adsorbed in the chamber 10 may be calculated as [total moving distance−total length of reaction module 20]/v. The total moving distance may be regarded as being equal to the circumference of the circle on which the substrate 1 is placed. The total length of the reaction module 20 may be calculated as L1+x1+L2+x2+L3+x3+L4. And, the purge/pumping time by the exhaust unit 201 may be calculated as x1/v, the second material injection time by the injection unit 202 as x2/v, and the purge/pumping time by the exhaust unit 203 as x3/v, respectively.

Because the substrate 1 passes below the reaction module 20 in a non-contact manner, z1 to z4 may be positive values. Also, z1 to z4 may be set to prevent or minimize contact of the substrate 1 passing below the reaction module 20 with the first material in the chamber 10. Further, by increasing the width L4 of the outer guard, the contact of the reaction module 20 with the first material in the chamber 10 may be prevented or minimized. Furthermore, a gas curtain or an exhaust device may be added to an edge of the outer guards.

These parameters may be determined adequately depending on the desired reaction, the materials used or other related conditions. For example, if a material with a relatively low partial gas pressure such as TEMAHf and TEMAZr is injected by the injection unit 202, the aperture x2 of the injection unit 202 may be increased or the moving speed of the substrate 1 may be decreased. On the contrary, if a material with a relatively high partial gas pressure such as TMA and TiCl4 is injected by the injection unit 202, the moving speed of the substrate 1 may be increased. The increase speed of the substrate 1 may contribute to increased productivity.

In one embodiment, factors such as the apertures x1 and x3, heights h1 and h3 of the exhaust units 201 and 203, pumping speeds of the exhaust units 201 and 203, and flow rate of the purge gas may be controlled such that only a portion of the physical adsorption layer is desorbed and removed from the substrate 1 by the exhaust units 201 and 203. In this case, some portion of the adsorption layer remains on the substrate 1 with the chemical adsorption layer. The rate of deposition of the thin film may be improved compared to the rate of forming a pure atomic layer thin film.

Referring to FIGS. 2 and 3B, the distance between each reaction module 20 may be set to sufficiently induce the adsorption of the first material into the substrate 1. For example, if the relative movement between the substrate 1 and the reaction module 20 is linear, the minimum distance between each reaction module 20 may be the aperture x2 of the injection unit 202 of each reaction module 20. Further, the distance between each reaction module 20 may also be determined based on the pressure of the first material in the chamber 10.

By installing as many reaction modules 20 as possible in the chamber 10 (subject to the constraints imposed by the distance between each reaction module 20), a larger number of thin film layers may be formed on the substrate 1 during a single rotation of the support 100. For example, about 5 to about 10 atomic layers may be formed on the substrate 1 per a single rotation of the support 100. This significantly increases the productivity of the process.

FIG. 4 is a schematic perspective view of the reaction module reaction module 20, according to one embodiment. In FIG. 4, the cross section 400 corresponds to the cross section of the reaction module 20 illustrated in FIGS. 3A and 3B.

FIG. 5 is a side cross-sectional view of the injection unit 202, according to one embodiment. As illustrated in FIG. 5, a second material is injected through a pipe type channel. The second material may be injected to a substrate below the injection unit 202 through at least one hole formed in the channel. Each hole may have the same or different size.

FIG. 6A is a side cross-sectional view of a purge port (A-A) of the exhaust unit 203, according to one embodiment. FIG. 6B is a side cross-sectional view of a pumping port (B-B) of the exhaust unit 203, according to one embodiment. FIGS. 6C and 6D are a plan view and a bottom view of the exhaust unit 203, according to one embodiment, respectively. Referring to FIGS. 6A to 6D, the purge port of the exhaust unit 203 is similar to the injection unit 202 of FIG. 5 except that a purge gas is injected instead of the second material. The pumping port of the exhaust unit 203 has a discharge outlet formed on the upper portion for discharging the pumped material. For effective pumping, the side surface of the pumping port may be curved. The exhaust unit 201 may also have the same configuration as that of the exhaust unit 203 illustrated in FIGS. 6A to 6D.

The configuration of the reaction module 20 in the above-described embodiments is merely illustrative. In other embodiments, the reaction module 20 is configured differently in various forms. For example, the purge port may be separated from the pumping port in other embodiments. Also, the reaction module may be configured in various forms such as purge port/pumping port/injection unit, purge port/pumping port/injection unit/pumping port, purge port/pumping port/injection unit/pumping port/purge port, purge port/pumping port/injection unit/purge port/pumping port, purge port/pumping port/purge port/injection unit/purge port/pumping port, or the like.

In one embodiment, the guard between the purge port and the pumping port may be removed from the exhaust units 201, 203. In this case, the pumping of the purge gas and the physically adsorbed molecules detached from the substrate 1 may be carried out more easily. In another embodiment, the guard between the injection unit 202 and the purge port of the exhaust unit 203 may be removed such that the injection unit 202 and the exhaust unit 203 are integrated.

FIG. 7A is a cross-sectional view of a reaction module of a vapor deposition reactor, according to another embodiment. Referring to FIG. 7A, a reaction module may include an exhaust unit 204, an injection unit 202 and an exhaust unit 203. The embodiment of FIG. 7A is different from the above embodiments in the exhaust unit 204. The exhaust unit 204 does not have a purge port and only performs pumping. The exhaust unit 204 may have an aperture larger than that of the injection unit 202 or the exhaust unit 203 of different forms. That is, the exhaust unit 204 may have an aperture starting from a pumping port and extending towards the moving direction of a substrate 1 and its opposite direction. The surface of the aperture may be curved.

FIG. 7B is a cross-sectional view of a reaction module of a vapor deposition reactor, according to another embodiment. The exhaust unit 205 illustrated in FIG. 7B further includes a purge port compared with the exhaust unit 204 of FIG. 7A. The exhaust unit 205 may include channels for providing a purge gas that starts from the pumping port and extends in the moving direction of a substrate 1 and in its opposite direction. The purge gas supplied by the two channels may be pumped through the pumping port between the two channels together with the molecule physically adsorbed on the substrate 1.

FIG. 8 is a cross-sectional view of a vapor deposition reactor according to another embodiment. Referring to FIG. 8, a vapor deposition reactor may include, among others, a chamber 10, a first reaction module 20 and a second reaction module 21. Each of the first and second reaction modules 20, 21 includes exhaust units 201, 211, injection units 202, 212 and exhaust units 203, 213 that are sequentially placed. The distance between the first and second reaction modules 20, 21 set to allow sufficient absorption of a first material in the chamber 10 onto a substrate 1 passing between the first and second reaction modules 20, 21. The distance may also be determined based on factors such as the size of the injection units 202, 212 and the pressure of the first material in the chamber 10.

Since the two reaction modules 20, 21 are arranged contiguously, two layers of thin film may be formed on the substrate 1 as the substrate 1 passes below the first and second reaction modules 20, 21. If the same second material is injected by the injection units 202, 212, two layers of the same material may be formed on the substrate 1. For example, in case a TiO2 atomic layer is formed using a second material including Ti metal atom as a source precursor, a homogeneous thin film of, for example, TiO2+TiO2 or TiN+TiN may be formed.

Further, by varying the reactant precursor filled in the chamber 10 while injecting the same source precursor at the reaction modules 20, 21, a heterogeneous thin film including the same material in each layer may be formed. For example, a heterogeneous thin film of TiN+TiO2 or TiO2+TiN may be formed. In addition, by injecting different source precursors at the injection units 202, 212 of the reaction modules 20, 21, different types of atomic layers may be formed contiguously. For example, a heterogeneous thin film of TiO2+SiO2 or AlN+SiO2 may be formed. Further, a multi-layer heterogeneous thin film of, for example, Al2O3+HfO2+Ta2O5 may also be formed by adding an additional reaction module for injecting a source precursor.

Depending on the type of thin film desired, the vapor deposition reactor according to embodiments may be used in combination with a plasma, ultrahigh frequency wave or UV source. The energy sources may be used in combination in the same process, or may be used in different processes to form thin film.

FIG. 9A is a cross-sectional view of a vapor deposition reactor according to one embodiment. An injection unit 206 may be used as a plasma source for supplying a second material in the form of plasma 2. For example, in the injection unit 206, a reaction gas for generating plasma is injected in a channel for supplying the second material, and a power may be applied close to holes formed in the channel to generate plasma 2. Coaxial electrodes may be used to generate plasma 2 on the side that is not in direct contact with the substrate 1. That is, in the injection unit 206, the plasma 2 may be generated only on the upper portion adjacent to the channel.

Such an injection unit 206 may be used to excite (or decompose) an inorganic source precursor with plasma and form an atomic layer thin film. The inorganic source precursor is normally difficult to attain in ALD. That is, after inducing a primary reaction (or decomposition) of the source precursor with plasma energy, the source precursor reacts with a reactant precursor. For example, after filling a chamber 10 with NH3, the substrate 1 is loaded and moved (or rotated). Nitrogen atoms generated by thermal decomposition is adsorbed in the substrate 1 during this process. By injecting an inorganic metal source such as TiCl4 or SiH4 onto the substrate 1 as a source precursor, TiN or SiN thin film may be formed on the substrate 1. The resulting thin film may include residual Cl or H, as well as NH4Cl formed from the reaction of NH3 and Cl.

However, when TiCl4 is injected in the form of plasma 2 using the injection unit 206 of the above embodiment, TiN thin film may be deposited at low temperature because Ti and Cl atoms are decomposed and Ti atoms are adsorbed at low temperature. Further, by injecting the source precursor mixed with TiCl4 and H2 at the injection unit 206, Ti atomic layer or a similar adsorption layer is obtained by the energy of the plasma 2. In this way, incubation or decreased deposition due to poor adsorption may be improved. Further, when forming gas (N2+H2) is used as a reactant precursor in the chamber 10, Ti thin film may be obtained on the substrate 1. Si thin film may also be obtained in a similar manner.

Although the injection unit 206 is configured to function as a plasma source in the above embodiment, in another embodiment, the injection unit 206 may apply UV light or ultrahigh frequency wave as well as the second material to induce a primary reaction of the second material to induce a final reaction between the second material and the first material.

In accordance with the above embodiments, radicals having a very short lifespan such as hydrogen radical or nitrogen radical may be utilized because plasma is generated directly above the substrate 1. Hence, the radical efficiency may be improved. Further, by moving the substrate 1 while generating nitrogen or hydrogen plasma, a thin film with better quality may be obtained even at low temperature in a large quantity. In addition, a nitride film may be obtained.

In conventional reactors, a single atomic layer has to be formed by increasing the cycle number when using a source precursor without the self-limiting phenomenon (i.e., a source precursor that is not saturated during chemisorption). For example, source precursors such as TiCl4 and SiH4 does not exhibit self-limiting phenomenon. However, when using the vapor deposition reactors according to embodiments, an atomic layer excited by the plasma 2 may be first formed on the substrate 1 for absorbing the reactant precursor. Surface activation sufficiently induces the adsorption of the source precursor. As a result, no additional nucleation process is required and atomic layer can be formed without incubation phenomenon.

FIG. 9B is a cross-sectional view of a vapor deposition reactor according to one embodiment. In the embodiment of FIG. 9B, remote plasma is used instead of directly exposing plasma 2 to a substrate 1 to prevent damage to the substrate 1 attributable to the plasma 2. An injection unit 207 may include, among others, a channel for injecting a reaction gas for generating plasma, and a separate channel for injecting a second material. The holes formed in each channel are connected with each other to allow supply of both the second material and the plasma 2 to the substrate 1.

FIG. 10 is a cross-sectional view of a vapor deposition reactor according to one embodiment. Referring to FIG. 10, a vapor deposition reactor may include, among others, a chamber 10, a first reaction module 20, a second reaction module 21 and a third reaction module 22. The third reaction module 22 may be configured similar to the embodiment described above with reference to FIG. 9A. The third reaction module 22 applies plasma 2 to a substrate 1. Further, the first and second reaction modules 20, 21 may be configured according to the embodiment described above with reference to FIG. 8. A detailed description on the configuration and other aspects of the embodiment illustrated in FIG. 10 is omitted herein for the sake of brevity.

FIG. 11 is a flowchart illustrating a method for forming thin film according to one embodiment. Referring to FIG. 11, a substrate is loaded S21 in a chamber. A first material is filled S22 in the chamber. The first material is, for example, a reactant precursor used in ALD. Since the substrate loaded in the chamber is exposed to the first material atmosphere, a physical and/or chemical adsorption layer of the first material may be formed on the substrate.

The substrate passes S23 an exhaust unit of a reaction module. As the substrate passes the exhaust unit, the physical adsorption layer of the first material on the substrate may be removed by injection and pumping of a purge gas. As a result, only the chemical adsorption layer of the first material remains on the substrate. In one embodiment, only a portion of the physical adsorption layer of the first material is removed, leaving another portion of the physical adsorption layer on the substrate.

The substrate passes S24 an injection unit of the reaction module. The injection unit may inject a second material to the substrate. The second material may be a material for forming a thin film by reacting with and/or substituting the first material. For ALD, the second material may be a source precursor. That is, while the substrate passes the injection unit, an atomic layer is formed on the substrate.

The substrate may pass S25 an exhaust unit of the reaction module. As the substrate passes the exhaust unit, a physical adsorption layer of the second material is removed, and only the thin film formed by reaction and/or substitution of the first material with the second material remains on the substrate. In one embodiment, only a portion of the physical adsorption layer of the second material may be removed, leaving another portion of the physical adsorption layer on the substrate.

The above-described injection of the first material, purge/pumping, injection of the second material and purge/pumping are repeated S26 until a thin film of a desired thickness is obtained.

FIG. 12 is a timing diagram of the method for forming a thin film, according to the embodiment illustrated in FIG. 11. The first material is filled in the chamber. Hence, the first material is continuously maintained in an active state. In the reaction module, three processes of purge/pumping, injection of the second material and purge/pumping are sequentially activated. By repeating cycles C, each consisting of injection of the first material, purge/pumping, injection of the second material and purge/pumping several times, a thin film with a desired thickness can be obtained.

FIGS. 13(a) through 13(d) schematically illustrate a reaction between materials, according to the embodiment of FIGS. 11 and 12. Referring to FIG. 13(a), as the first material is injected to the substrate, the physical adsorption layer and/or the chemical adsorption layer of the first material is formed on the substrate. Referring to FIG. 13(b), the physical adsorption layer of the first material is removed by purging and pumping, leaving only the chemical adsorption layer.

Referring to FIG. 13(c), the second material is injected onto the substrate on which the chemical adsorption layer of the first material is formed. Physical and/or chemical adsorption layer of the second material may be formed on the substrate. The chemical absorption layer of the second material forms a thin film by reaction and/or substitution with the chemical adsorption layer of the first material. Referring to FIG. 13(d), the physical adsorption layer of the second material is removed by purging and pumping, and only a single atomic layer remains on the substrate.

In the processes of removing the physical adsorption layers of the first material and the second material described with respect to FIG. 13(b) and FIG. 13(d), respectively, only portions of the physical adsorption layers may be removed. In this case, the residual portions of the physical adsorption layers may remain on the substrate along with the chemical adsorption layers. Hence, the rate of deposition of the thin film may be improved compared to the rate of forming a pure atomic layer thin film.

By using the vapor deposition reactor according to above embodiments, the atomic layer deposition (ALD) process and the mechanical configuration of the related apparatus may be simplified. As a result, a manufacturing cost can be reduced. Further, throughput of the vapor deposition reactor can be improved because more reactors may be installed on the same area (footprint). In addition, a highly reliable apparatus and process can be achieved because undesired diffusion or reaction that may occur as the source precursor is first adsorbed on the surface of the semiconductor substrate or device is minimized.

Although the present invention has been described above with respect to several embodiments, various modifications can be made within the scope of the present invention. Accordingly, the disclosure of the present invention is intended to be illustrative, but not limiting, of the scope of the invention, which is set forth in the following claims.

Lee, Sang In

Patent Priority Assignee Title
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339472, May 10 2019 Tokyo Electron Limited Substrate processing apparatus
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11390950, Jan 10 2017 ASM IP HOLDING B V Reactor system and method to reduce residue buildup during a film deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837445, Nov 14 2018 JUSUNG ENGINEERING CO , LTD Substrate processing device and substrate processing method
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
11923181, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
11923190, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11929251, Dec 02 2019 ASM IP Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
11939673, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11946137, Dec 16 2020 ASM IP HOLDING B V Runout and wobble measurement fixtures
11952658, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11956977, Dec 29 2015 ASM IP Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
11959168, Apr 29 2020 ASM IP HOLDING B V ; ASM IP Holding B.V. Solid source precursor vessel
11959171, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11961741, Mar 12 2020 ASM IP Holding B.V. Method for fabricating layer structure having target topological profile
11967488, Feb 01 2013 ASM IP Holding B.V. Method for treatment of deposition reactor
11970766, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus
11972944, Jan 19 2018 ASM IP Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
11976359, Jan 06 2020 ASM IP Holding B.V. Gas supply assembly, components thereof, and reactor system including same
11976361, Jun 28 2017 ASM IP Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11986868, Feb 28 2020 ASM IP Holding B.V. System dedicated for parts cleaning
11987881, May 22 2020 ASM IP Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
11993847, Jan 08 2020 ASM IP HOLDING B V Injector
11996289, Apr 16 2020 ASM IP HOLDING B V Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
11996292, Oct 25 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11996304, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11996309, May 16 2019 ASM IP HOLDING B V ; ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
9145609, Apr 29 2011 ASM KOREA LTD Lateral flow atomic layer deposition device
9412961, Nov 13 2012 SAMSUNG DISPLAY CO , LTD Method of manufacturing organic light-emitting display apparatus
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
ER3967,
ER4264,
ER4489,
ER4646,
ER6015,
ER6261,
ER6328,
ER7009,
ER7365,
ER8714,
ER8750,
ER9386,
Patent Priority Assignee Title
4293326, Jul 20 1978 Flachglas Aktiengesellschaft Glass coating
4834020, Dec 04 1987 Silicon Valley Group, Thermal Systems LLC Atmospheric pressure chemical vapor deposition apparatus
4891247, Sep 15 1986 Silicon Valley Group, Thermal Systems LLC Process for borosilicate glass films for multilevel metallization structures in semiconductor devices
5063951, Jul 19 1990 International Business Machines Corporation Fluid treatment device
5122391, Mar 13 1991 AVIZA TECHNOLOGY, INC Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
5136975, Jun 21 1990 AVIZA TECHNOLOGY, INC Injector and method for delivering gaseous chemicals to a surface
5286295, Feb 13 1991 Saint-Gobain Vitrage International Nozzle with nonsymmetrical feed for the formation of a coating layer on a ribbon of glass, by pyrolysis of a gas mixture
5482557, Jan 14 1986 Canon Kabushiki Kaisha Device for forming deposited film
5683516, Jul 18 1994 SILICON VALLEY GROUP, INC Single body injector and method for delivering gases to a surface
5725668, Sep 06 1995 International Business Machines Corporation Expandable fluid treatment device for tublar surface treatments
5935647, Jul 18 1994 Silicon Valley Group, Thermal Systems LLC Method of manufacturing an injector for chemical vapor deposition processing
6022414, Jul 18 1994 SILICON VALLEY GROUP, INC Single body injector and method for delivering gases to a surface
6083355, Jul 14 1997 The University of Tennessee Research Corporation Electrodes for plasma treater systems
6195504, Nov 20 1996 Ebara Corporation Liquid feed vaporization system and gas injection device
6200389, Jul 18 1994 Silicon Valley Group, Thermal Systems LLC Single body injector and deposition chamber
6206972, Jul 08 1999 EUGENUS, INC Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
6354109, Jul 12 1995 Saint-Gobain Glass France Process and apparatus for providing a film with a gradient
6435428, Feb 16 2000 WONIK IPS CO , LTD Showerhead apparatus for radical-assisted deposition
6521048, Jul 18 1994 ASML US, INC Single body injector and deposition chamber
6539891, Jun 19 1999 ASM KOREA LTD Chemical deposition reactor and method of forming a thin film using the same
6569501, Dec 06 2000 Novellus Systems, Inc Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
6634314, Aug 09 2000 Jusung Engineering Co., Ltd. Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
6656284, Jun 28 2002 Jusung Engineering Co., Ltd. Semiconductor device manufacturing apparatus having rotatable gas injector and thin film deposition method using the same
6812157, Jun 24 1999 ATOMIC PRECISION SYSTEMS, INC Apparatus for atomic layer chemical vapor deposition
6890386, Jul 13 2001 AVIZA TECHNOLOGY INC Modular injector and exhaust assembly
7087119, Mar 05 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Atomic layer deposition with point of use generated reactive gas species
7384680, Oct 17 2000 NanoGram Corporation Nanoparticle-based power coatings and corresponding structures
7455884, Mar 05 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Atomic layer deposition with point of use generated reactive gas species
7615486, Apr 17 2007 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
20030072881,
20030198587,
20040067641,
20040265195,
20060183301,
20070095286,
20080260940,
20080260967,
20090047775,
20090064932,
20090068849,
20090081885,
20090098276,
20090165715,
20090320749,
20100037820,
20100132615,
20100140802,
20100221426,
20100310771,
20110076421,
20120196050,
20120207926,
20120225204,
20120225206,
20120225207,
EP499524,
EP2159304,
EP2360293,
FR2736632,
JP2003324070,
JP2005116898,
KR100542736,
KR100622609,
KR100631972,
KR100673211,
KR100760428,
KR100791677,
KR100840897,
KR1020010040561,
KR1020020078804,
KR1020020083564,
KR1020030086056,
KR1020040016779,
KR1020050015931,
KR1020060117607,
KR102006104230,
KR1020070076955,
KR1020070096770,
KR1020070101127,
KR1020070101360,
KR1020080067042,
KR10631972,
WO2007106076,
WO2012028776,
WO9939144,
//////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 11 2009Synos Technology, Inc.(assignment on the face of the patent)
Aug 11 2009LEE, SANG INSYNOS TECHNOLOGY, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0230910962 pdf
Aug 11 2009LEE, SANG INSYNOS TECHNOLOGY, INC CORRECTIVE ASSIGNMENT TO CORRECT THE ADDRESS OF SYNOS TECHNOLOGY, INC FROM MOUNTAIN VIEW TO SUNNYVALE VIEW PREVIOUSLY RECORDED ON REEL 023091 FRAME 0962 ASSIGNOR S HEREBY CONFIRMS THE ASSIGNMENT OF THE SUBJECT MATTER TO SYNOS TECHNOLOGY, INC 0319550987 pdf
Mar 29 2010SYNOS TECHNOLOGY, INC Novellus Development Company, LLCSECURITY AGREEMENT0241610267 pdf
Mar 27 2012Novellus Development Company, LLCSYNOS TECHNOLOGY, INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0279560025 pdf
Oct 01 2013SYNOS TECHNOLOGY, INC VEECO ALD INC CHANGE OF NAME SEE DOCUMENT FOR DETAILS 0315990531 pdf
Date Maintenance Fee Events
Nov 28 2016M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Feb 15 2021REM: Maintenance Fee Reminder Mailed.
Aug 02 2021EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Jun 25 20164 years fee payment window open
Dec 25 20166 months grace period start (w surcharge)
Jun 25 2017patent expiry (for year 4)
Jun 25 20192 years to revive unintentionally abandoned end. (for year 4)
Jun 25 20208 years fee payment window open
Dec 25 20206 months grace period start (w surcharge)
Jun 25 2021patent expiry (for year 8)
Jun 25 20232 years to revive unintentionally abandoned end. (for year 8)
Jun 25 202412 years fee payment window open
Dec 25 20246 months grace period start (w surcharge)
Jun 25 2025patent expiry (for year 12)
Jun 25 20272 years to revive unintentionally abandoned end. (for year 12)