The use of a monolayer or partial monolayer sequencing process to form conductive titanium nitride produces a reliable structure for use in a variety of electronic devices. In an embodiment, a structure can be formed by using ammonia and carbon monoxide reactant materials with respect to a titanium-containing precursor exposed to a substrate. Such a tin layer has a number of uses including, but not limited to, use as a diffusion barrier underneath another conductor or use as an electro-migration preventing layer on top of a conductor. Such deposited tin material may have characteristics associated with a low resistivity, a smooth topology, high deposition rates, excellent step coverage, and electrical continuity.

Patent
   8633110
Priority
Jul 20 2005
Filed
Nov 14 2011
Issued
Jan 21 2014
Expiry
Jul 20 2025

TERM.DISCL.
Assg.orig
Entity
Large
0
179
currently ok
9. A method comprising:
forming titanium nitride on a substrate by a monolayer or partial monolayer sequencing process including:
pulsing a precursor containing titanium to the substrate;
pulsing a reactant containing ammonia to the substrate; and
pulsing a reactant containing carbon monoxide to the substrate.
16. An electronic apparatus comprising:
a substrate; and
titanium nitride coupled to a semiconductor device on the substrate, the titanium nitride having a composition of tinx that varies from an x value of 0.5 at one portion of the titanium nitride to an x value greater than 1.0 at another portion of the titanium nitride.
1. A method comprising:
forming titanium nitride on a substrate by a monolayer or partial monolayer sequencing process including:
pulsing a precursor of tetrakisdimethylamidotitanium to the substrate;
pulsing a reactant containing nitrogen to the substrate; and
pulsing a reactant containing no nitrogen to the substrate.
2. The method of claim 1, wherein pulsing the reactant containing nitrogen includes pulsing ammonia.
3. The method of claim 1, wherein the method includes forming the titanium nitride contacting a conductor, the conductor composed of material different from titanium nitride.
4. The method of claim 1, wherein the conductor includes polysilicon, aluminum, or copper.
5. The method of claim 1, wherein the method includes controlling the monolayer or partial monolayer sequencing process such that tinx, where 0.5≦x≦2.0, is formed.
6. The method of claim 5, wherein controlling the monolayer or partial monolayer sequencing process includes controlling an atomic layer deposition process.
7. The method of claim 1, wherein forming the titanium nitride includes forming a region of tinx with a composition that varies from an x value of 0.5 at a lower portion of the region to an x value greater than 1.0 at an upper portion of the region.
8. The method of claim 1, wherein the method includes controlling the monolayer or partial monolayer sequencing process such that the titanium nitride has a step coverage greater than 80% and a resistivity of less than 600 μohm-cm.
10. The method of claim 9, wherein the method includes pulsing the reactant containing ammonia and pulsing the reactant containing carbon monoxide simultaneously.
11. The method of claim 9, wherein the method includes pulsing the reactant containing ammonia and pulsing the reactant containing carbon monoxide sequentially.
12. The method of claim 9, wherein the method includes pulsing the reactant containing ammonia and pulsing the reactant containing carbon monoxide in alternating cycles of the monolayer or partial monolayer sequencing process.
13. The method of claim 9, wherein forming titanium nitride on the substrate includes forming the titanium nitride on a silicon substrate or on silicon containing material on a substrate.
14. The method of claim 9, wherein pulsing the precursor containing titanium includes pulsing tetrakisdiethylamidotitanium.
15. The method of claim 9, wherein the method includes controlling the monolayer or partial monolayer sequencing process such that titanium nitride is formed having a graded composition.
17. The electronic apparatus of claim 16, wherein the titanium nitride has a step coverage greater than 75% and a resistivity of less than 800 μohm-cm.
18. The electronic apparatus of claim 16, wherein the titanium nitride is disposed on and covering a gate electrode of a transistor.
19. The electronic apparatus of claim 16, wherein the titanium nitride is disposed as a diffusion barrier contacting a conductive material coupled to the semiconductor device.

This application is a continuation of U.S. application Ser. No. 11/742,309, filed Apr. 30, 2007 U.S. Pat. No. 8,058,729, which is a divisional of U.S. application Ser. No. 11/185,423, filed on Jul. 20, 2005, now issued as U.S. Pat. No. 7,473,637, both of which are incorporated herein by reference in their entirety.

This application relates generally to semiconductor devices and device fabrication and, more particularly, to conductive layers and their method of fabrication.

The semiconductor device industry has a market driven need to reduce the size of devices such as transistors, capacitors and electrically conductive interconnects. Smaller transistors result in improved operational speed and clock rate, and reduced power requirements in both the standby and operational modes. Smaller devices also need thinner dielectric layers, thinner diffusion layers and thinner conductive interconnect layers. Thinner conductor layers may result in what are known as step coverage issues when the thin conductor lines traverse a steep contact step or edge. These steps or edges are becoming increasingly deep and narrow. The contact edges may be substantially deeper than the diameter of the contact hole, a situation known as a high aspect ratio contact hole, which may also cause step coverage and contact filling issues. Thinner layers may also be more sensitive to intermingling or diffusion of the different materials into regions where they may cause potential reliability problems. The increasingly small and reliable integrated circuits (ICs) will likely be used in products such as processor chips, mobile telephones, and memory devices such as dynamic random access memories (DRAMs). One known method of improving conductor reliability is the use of a layer of conductive titanium nitride (TiN) under, or over, other conductors, such as aluminum or copper in order to prevent the metal from diffusing into surrounding insulator layers. The deposition of TiN by means of chemical vapor deposition (CVD) or physical vapor deposition (PVD) methods such as sputtering, often results in layers with poor conductivity with CVD, usually expressed in terms of resistivity in units of ohm-cm, or in μohm-cm, or in poor conformality with PVD resulting in incomplete coverage.

FIG. 1 illustrates an embodiment of an atomic layer deposition system for fabricating a titanium nitride layer formed as a sequence of titanium nitride layers;

FIG. 2 illustrates a flow diagram of elements for an embodiment of a method to form a titanium nitride layer;

FIG. 3 illustrates an embodiment of a configuration of a transistor having a titanium nitride layer deposited by atomic layer deposition on a polysilicon gate and under a different conductive material;

FIG. 4 shows an embodiment of a contact in a dielectric layer having an atomic layer deposited titanium nitride layer;

FIG. 5 is a simplified diagram for an embodiment of a controller coupled to an electronic device; and

FIG. 6 illustrates a diagram for an embodiment of an electronic system having devices.

The following detailed description refers to the accompanying drawings that show, by way of illustration, specific aspects and embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.

The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors or as semiconductors. The term step coverage is used to refer to the ratio of the minimum thickness of a material going over a horizontal to vertical transition to the thickness of the same material on a flat surface.

The term “horizontal” as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate. The term “vertical” refers to a direction perpendicular to the horizontal as defined above. Prepositions, such as “on”, “side” (as in “sidewall”), “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled.

One method of improving conductor reliability is a layer of conductive titanium nitride (TiN) under, or over, other conductors, such as aluminum or copper in order to prevent the metal from diffusing into surrounding insulator layers. The deposition of TiN may be formed by depositing titanium by atomic layer deposition (ALD) onto a substrate surface using precursor chemicals to form a film of TiN, or some other titanium nitride, TiNx, where the film has a repeatable thickness. This process may be known as an ALD cycle. The desired final thickness is obtained by knowing the TiN film thickness for one ALD cycle and repeating as often as necessary to form a film of the required thickness.

A layer of titanium nitride formed by the ALD method may be very conductive (i.e., low resistivity), for example 600 micro ohm-centimeter (μohm-cm), as compared to 6000 μohm-cm for titanium nitride films formed by previous methods such as chemical vapor deposition (CVD) using TDMAT (tetrakis dimethyl amido titanium) and ammonia.

An embodiment of a method for forming a titanium nitride layer having a first thickness on a substrate by atomic layer deposition (ALD) includes exposing the substrate to at least one precursor containing titanium, then exposing the substrate to at least one reactant containing nitrogen and at least one reactant containing no nitrogen. Reactant is a term applied to ALD precursors that follow an initial precursor in a deposition cycle, and is only used to help distinguish the materials used in the ALD reaction. The temperature of the ALD is in a range of approximately 200° C. to 370° C., and preferably approximately 230° C. The titanium precursor may be tetrakisdiethylamidotitanium (TDEAT), and the precursor may be a liquid having a temperature between 55° C. and 115° C., preferably 75° C. The liquid may be transported to the ALD reactor by an inert carrier gas, preferably helium, having a flow of from 50 sccm to 150 sccm.

The reactants may include reducing agents, and are preferably a mix of ammonia (NH3) and carbon monoxide (CO), having a typical ratio of about 1 part ammonia to 7 parts carbon monoxide. The reactants may also be used sequentially rather than simultaneously, or in alternate ALD cycles.

ALD reactions typically result in one layer of TiN per ALD reaction cycle, with a thickness per cycle typically about one Angstrom. The cycle is repeated to form a titanium nitride film having a final thickness.

ALD reactions may have non-reactive purge gases between each precursor or reactant flow. In general, there is no substantial difference between precursors and reactants, and the terms are used herein only to indicate the order of use in a single deposition cycle. A method of forming a titanium nitride layer on a substrate by atomic layer deposition (ALD) may include exposing the substrate to at least one precursor chemical containing titanium, exposing the substrate to a non-reactive purge gas flow, exposing the substrate to a mixture having at least one reactant gas containing nitrogen, exposing the substrate to a third non-reactive purge gas flow, and repeating the cycle until the desired final thickness is achieved. A non-reactive purge gas flow may be present before the beginning of the cycle, or at any time during the cycle.

The titanium nitride layers formed by the ALD process may have a chemical formula of TiNX, where 0.5<X<2.0, but preferably X=1. The TiN layers have low resistivity as compared to CVD and sputtering methods, and may be less than 800 μohm-cm. The TiN layers have excellent step coverage even over contact steps with high aspect ratios, and may have greater than 75% step coverage.

The TiN layers provided by this method may be used with a conductive layer (for example aluminum) below the titanium nitride layer, or above the titanium nitride layer. The TiN layers may be useful as diffusion barriers, for electro-migration control, or to provide reliable parallel current paths. Such TiN layers may be useful in the formation of conductive plates on a capacitive device, or conductive signal lines on transistor devices such as memory devices like Flash, EEPROMs, or other electronic devices, including CMOS and bipolar transistors, digital and analog circuits, thin film transistor, and electroluminescent displays.

In an embodiment, a titanium nitride (TiNX) film having a substantially smooth surface is formed using atomic layer deposition (ALD). Forming such a film using atomic layer deposition can provide for controlling transitions between material layers. As a result of such control, ALD TiN films may have an engineered transition with a substrate surface in a contact hole, and may be formed with many thin layers of continuously changing material composition to enable improved adhesion at one surface, and grading to increased electrical conductivity in the bulk of the TiNX material.

ALD, which may also be known as atomic layer epitaxy (ALE), is a modification of chemical vapor deposition (CVD) and may also be called “alternatively pulsed-CVD.” In ALD, gaseous precursors are introduced one at a time to the substrate surface mounted within a reaction chamber (or reactor). This introduction of the gaseous precursors takes the form of sequential pulses of each gaseous precursor. In a pulse of a precursor gas, the precursor gas is made to flow into a specific area or region for a short period of time. Between the pulses, the reaction chamber is purged with a gas, which in many cases is an inert gas, and/or evacuated. The first precursor material be introduced may be called the precursor, and the next material introduced may be called the reactant, but both materials are precursors to the eventual material formed by the ALD reaction. If there are two precursors or two reactants that do not react with each other in the gas phase, then the ALD process may be modified to allow both precursors or reactants to flow simultaneously in to the reaction chamber.

In the first reaction step of the ALD process the first precursor saturates and is chemisorbed at the substrate surface, during the first pulsing phase. Subsequent pulsing with a purging gas removes excess precursor from the reaction chamber, specifically the precursor that has not been chemisorbed.

The second pulsing phase introduces a second precursor (referred to herein as the reactant) to the substrate where the growth reaction of the desired film takes place, with a reaction thickness that depends in part upon the amount of the chemisorbed first precursor. Subsequent to the film growth reaction, reaction byproducts and precursor excess are purged from the reaction chamber. With a precursor chemistry where the precursors adsorb and react with each other on the substrate aggressively, one ALD cycle can be performed in less than one second in properly designed flow type reaction chambers. Typically, precursor pulse times range from about 0.5 sec to about 10 seconds.

In ALD processes, the saturation of all the reaction and purging phases makes the film growth self-limiting. This self-limiting growth results in large area uniformity and conformality, which has important applications for such cases as planar substrates, deep trenches, or high aspect ratio contact holes, and in the processing of porous silicon and high surface area silica and alumina powders. Significantly, ALD provides for controlling film thickness in a straightforward manner by controlling the number of growth cycles.

ALD was originally developed to manufacture luminescent and dielectric films needed in electroluminescent displays. ALD has been studied for the growth of different epitaxial II-V and II-VI films, nonepitaxial crystalline or amorphous oxide and nitride films and multilayer structures of these. There also has been considerable interest in the ALD growth of silicon and germanium films, but due to the difficult precursor chemistry, this has not been very successful to date.

The precursors used in an ALD process may be gaseous, liquid or solid. However, liquid or solid precursors should be volatile with high vapor pressures or low sublimation temperatures. The vapor pressure should be high enough for effective mass transportation. In addition, solid and some liquid precursors may need to be heated inside the reaction chamber and introduced through heated tubes to the substrates. The necessary vapor pressure should be reached at a temperature below the substrate temperature to avoid the condensation of the precursors on the substrate. Due to the self-limiting growth mechanisms of ALD, relatively low vapor pressure solid precursors may be used, though evaporation rates may somewhat vary during the process because of changes in surface area.

Other desirable characteristics for ALD precursors include thermal stability at the substrate temperature, since decomposition may destroy surface control (and accordingly one of the advantages of the ALD method), which relies on the reaction of the precursor at the substrate surface. A slight decomposition, if slow compared to the ALD growth, may be tolerated. The precursors should chemisorb on, or react with the surface, though the interaction between the precursor and the surface as well as the mechanism for the chemisorption (similar to adsorption) is different for different precursors. The molecules at the substrate surface should react aggressively with the second precursor, which may be called a reactant, to form the desired film. Additionally, precursors should not react with the film to cause etching, and precursors should not dissolve in the film. The ability to use highly reactive precursors in ALD processes may be contrasted with precursors for conventional metallo-organic CVD (MOCVD) type reactions. Further, the by-products of the reaction should be gaseous in order to allow their easy removal from the reaction chamber during a purge stage. Finally, the by-products should not react or adsorb on the substrate surface.

In a reaction sequence ALD (RS-ALD) process, the self-limiting process sequence involves sequential surface chemical reactions. ALD relies on chemistry between a reactive surface and a reactive molecular precursor. In an ALD process, molecular precursors are pulsed into the ALD reaction chamber separately, except in the case where two non inter reacting materials are used. The metal precursor reaction at the substrate is typically followed by an inert gas pulse (or purge) to remove excess precursor and by-products from the reaction chamber prior to an input pulse of the next precursor of the fabrication sequence.

By the use of ALD processes, films can be layered in equal metered sequences that are all identical in chemical kinetics, deposition thickness per cycle, composition, and thickness. ALD sequences generally deposit less than a full layer per cycle. Typically, a deposition or growth rate of about 0.25 to about 2.00 Å per ALD cycle may be realized.

The advantages of ALD include continuity at an interface or a topological step, and avoiding poorly defined nucleating regions, as are typical for thin chemical vapor deposition (<20 Å) and thin physical vapor deposition (<50 Å) cycles, conformality over a variety of substrate topologies due to its layer-by-layer deposition technique, use of low temperature, lack of dependence on the reaction chamber geometry, growth thickness dependent solely on the number of cycles performed, and ability to engineer multilayer laminate films with resolution of one to two monolayers. ALD processes allow for deposition control on the order of single monolayers and the ability to deposit monolayers of amorphous films.

A cycle of an ALD deposition sequence may include pulsing a precursor material such as TDEAT (or other thermally stable precursor) for 2 seconds, pulsing a purging gas for the precursor, such as argon for 2 seconds and pumping the system back to a base pressure for an illustrative 5 seconds, pulsing a reactant precursor, for example a mix of carbon monoxide and ammonia for 3 seconds, and pulsing the reactant's purging gas, for example argon for 2 seconds and pumping the system for 10 seconds. The ALD process depends on chemical absorption (chemisorbs) of the precursors and reactants on the surface, which is self limiting, thus resulting in a very consistent deposition thickness that depends upon the amount of the first precursor that adsorbs onto, and saturates, the surface. This cycle may be repeated until the desired thickness is achieved in a single material layer, or may be alternated with pulsing a third precursor material, pulsing a purging gas for the third precursor, pulsing a fourth reactant precursor, and pulsing the reactant's purging gas to form a second material layer upon the first material. There need not be a reactant gas if the precursor can interact with the substrate directly, as in the case of a dopant metal layer deposited upon a dielectric layer. In the case where the thickness of the first series of cycles results in a dielectric layer that is only a few molecular layers thick, and the second series of cycles also results in a different dielectric layer that is only a few molecular layers thick, this may be known as a nanolayer material or a nanolaminate. A nanolaminate means a composite film of ultra thin layers of two or more different materials in a layered stack, where the layers are alternating layers of different materials having a thickness on the order of a nanometer, and each nanolayer may be a continuous film only a single monolayer thick of the material. The nanolayers are not limited to alternating single layers of each material, but may include having several layers of one material alternating with a single layer of the other material, to obtain a desired ratio of the two or more materials. The layers of different materials may remain separate after deposition, or they may react with each other to form an alloy layer. The alloy layer may be viewed as a doping layer, and the properties of the dielectric layer may be varied by such doping.

As previously noted, the overall titanium nitride layer may be varied monolayer by monolayer to improve the surface properties and interface to silicon substrates, to dielectric layers, or to other conductive layers. For simplicity, in the embodiments discussed herein, the TiNX layer will have a consistent composition throughout the entire layer, and X will equal one, that is, the titanium nitride will be have a composition of TiN.

In an embodiment, a layer of titanium nitride is formed on a substrate mounted in a reaction chamber using atomic layer deposition. An embodiment includes forming the titanium nitride layers using a precursor gas such as TDEAT (tetrakisdiethylamidotitanium), having a chemical formula of Ti[(C2H5)2N]4. The reactant precursor is a mixture of ammonia (NH3) and carbon monoxide (CO). TDEAT is a liquid precursor that may be used in a bubbler at a temperature of about 100° C., using helium as the carrier gas.

The use of such precursors in an ALD reaction chamber may result in lower substrate deposition temperatures in the range of 200° C. to 450° C., and preferably in the area around 230° C. Purge gases used between precursor and reactant injection phases may include nitrogen, helium, argon or neon. The TiN films formed may have good thermal and electrical properties, with a high electrical conductivity of around 600 μohm-cm. The TiN films have good step coverage values of better than 80%, and high continuity with low pinhole values.

FIG. 1 shows an embodiment of an atomic layer deposition system 100 for forming a TiN film. The elements depicted permit general discussion of the principles of ALD depositions, and is useful in practicing the present subject matter. In FIG. 1, a substrate 108 on a heating element/wafer holder 106 is located inside a reaction chamber 102 of ALD system 100. The heating element 106 is thermally coupled to substrate 108 to control the substrate temperature. A gas-distribution fixture 110 introduces precursor, reactant and purge gases to the substrate 108 in a uniform fashion. The gases introduced by the gas distribution fixture, sometimes referred to a showerhead, react with the substrate 108, and any excess gas and reaction products are removed from chamber 102 by vacuum pump 104 through a control valve 105. Each gas originates from individual gas sources 114, 118, 122, 126, 130, and 134, with a flow rate and time controlled by mass-flow controllers 116, 120, 124, 128, 132 and 136, respectively. The illustrated mass flow controllers are combined with a control valve (not shown for simplicity) to provide a secure shut off position. Gas sources 122 or 130 may provide a precursor gas either by storing the precursor as a gas, or by providing for a heater to slowly evaporate a solid source, or a bubbler to entrain a liquid material, to form the selected precursor material. The bubbler may have a carrier gas bubbling through the liquid precursor, or may be passed over the surface of the liquid to transport evaporated vapor from the precursor liquid. The figure shows more than one precursor source, but the subject matter is not so limited, and in disclosed embodiments there is only a single precursor source used.

Also included in the system are purging gas sources 114 and 118, coupled to mass-flow controllers 116 and 120, respectively. The disclosed embodiments may use only one of the purge gases for all disclosed illustrative purging steps, or both purge gases may be used simultaneously, or alternately as required for the particular desired result. For a process that uses the same purging gas for multiple precursor gases fewer purging gas sources may be required for ALD system 100. The precursor, reactant and purge gas sources are coupled by their associated mass-flow controllers to a common gas line or conduit 112, which is coupled to the gas-distribution fixture 110 inside the reaction chamber 102. Gas conduit 112 may also be coupled to another vacuum pump, or exhaust pump, not shown, to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from the gas conduit 112.

Vacuum pump, or exhaust pump, 104 is coupled to chamber 102 by control valve 105, which may be a mass-flow valve, to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from reaction chamber 102. For convenience, control displays, mounting apparatus, temperature sensing devices, substrate maneuvering apparatus, and necessary electrical connections as are known to those skilled in the art are not shown in FIG. 1. Though ALD system 100 is well suited for practicing the present invention, other commercially available ALD systems may also be used.

The use and operation of reaction chambers for deposition of films are understood by those of ordinary skill in the art of semiconductor fabrication. The present invention may be practiced on a variety of such reaction chambers without undue experimentation. Furthermore, one of ordinary skill in the art will comprehend the necessary detection, measurement, and control techniques in the art of semiconductor fabrication upon reading the disclosure.

The elements of ALD system 100 may be controlled by a computer using a computer readable medium containing computer executable instructions to control the individual elements such as pressure, temperature, and gas flow within ALD system 100. To focus on the use of ALD system 100 in the various embodiments of the present invention, the computer is not shown, although those skilled in the art can appreciate that system 100 can be under computer control.

FIG. 2 illustrates a flow diagram of operational steps for an embodiment of a method to form a TiN layer. At 202, a substrate is prepared to react immediately with, and chemisorb the first precursor gas. This preparation will remove contaminants such as thin organic films, dirt, and native oxide from the surface of the substrate, and may include a hydrofluoric acid rinse, or a sputter etch in the reaction chamber 102. At 206 a first precursor material enters the reaction chamber for a predetermined length of time, for example 0.5-2.0 seconds. The first precursor material is chemically adsorbed onto the surface of the substrate, the amount depending upon the temperature of the substrate, in one embodiment 230° C., and in the presence of sufficient flow of the precursor material. In addition, the pulsing of the precursor may use a pulsing period that provides uniform coverage of an adsorbed monolayer on the substrate surface, or may use a pulsing period that provides partial formation of a monolayer on the substrate surface. An embodiment has a precursor gas including TDEAT.

At 208 a first purge gas enters the reaction chamber for a predetermined length of time sufficient to remove substantially all of the non-chemisorbed first precursor material. Typical times may be 1.0-2.0 seconds with a purge gas comprising nitrogen, argon, neon, combinations thereof, or other gases such as hydrogen. At 210 a first reactant gas enters the chamber for a predetermined length of time, sufficient to provide enough of the reactant to chemically combine with the amount of chemisorbed first precursor material on the surface of the substrate. In an embodiment, reactant materials include ammonia and carbon monoxide, either pulse simultaneously, sequentially, or in alternating cycles. At 212 a second purge gas, which may be the same or different from the first purge gas, enters the chamber for a predetermined length of time, sufficient to remove substantially all non-reacted materials and any reaction byproducts from the chamber. Although the described embodiment includes two different purge operations, the invention is not so limited. There may be a single continuous or variable purge, or there may be no purge gas at all, with the reactant materials being removed only by a pump mechanism. Alternatively, the removal of the reactants and reaction products may occur by a purge gas flow alone.

At 214 a decision is made as to whether or not the thickness of the first dielectric material in the dielectric has reached the desired thickness, or whether another deposition cycle is required. If another deposition cycle is needed, then the operation returns to 206, until the desired first dielectric layer is completed, at which time the process moves on to the end of deposition process at 216.

FIG. 3 illustrates a single transistor in an embodiment of a method to form a conductive TiN layer formed of a plurality of ALD deposited titanium nitride layers. This embodiment may be implemented with the system 100 of FIG. 1 used as an atomic layer deposition system. A substrate 302 is prepared, typically a silicon or silicon containing material. In other embodiments, germanium, gallium arsenide, silicon-on-sapphire substrates, or other suitable substrates may also be used. The preparation process may include cleaning substrate 302 and forming various layers and regions of the substrate, such as source-drain diffusion 304 and source-drain diffusion 306 of an illustrative metal oxide semiconductor (MOS) transistor 300. The sequencing of the formation of the regions of the transistor 300 being processed may follow the generally understood fabrication of a MOS transistor as is well known to those skilled in the art.

The dielectric 310 covering the area on the substrate 302 between the source and drain diffused regions 304 and 306 may known as a gate oxide layers. Covering the gate oxide 310 is the gate electrode 312, which may be formed of conductive polycrystalline silicon (polysilicon) or various metals, depending upon the desired transistor 300 threshold voltage. Covering the gate electrode 312 is an ALD deposited conductive TiN layer 314, which is covered by metal layer 318, typically an aluminum alloy or copper. The TiN layer 314 may be used as a diffusion barrier layer to prevent metal from layer 318 from affecting the electrical properties of the transistor device 300 by diffusing through the poly gate 312 and the gate oxide 310 and contaminating the substrate 302 with metal.

Embodiments of methods for forming conductive TiN layers formed by an ALD deposition may also be applied to forming metal plates in capacitors, in forming conductive traces in various integrated circuits, memory devices, and electronic systems.

FIG. 4 illustrate a contact hole having a conductive TiN layer providing at least a portion of the electrical signal conduction to a diffused structure. A conductive filled contact 400 has a substrate 402, typically a semiconductor such as silicon, with a diffused structure 404, such as a diode or a source/drain region formed in the substrate. A dielectric layer, such as silicon oxide layer 406, will have a hole, or contact 408 formed through the dielectric 406 to contact the diffused region 404. An ALD deposited layer of conductive TiN 410 traverses the flat top portion of the dielectric layer 406 and has a first thickness t1. The TiN layer 410 also traverses the edge of the contact 408 at location 412 and covers the sidewalls and the bottom 414 of contact 408. The illustrative embodiment of an ALD deposited TiN layer will have a minimum thickness at the either the top corner at 412, on the sidewall at 408 or at the inside corner of the bottom 414 of the contact. The TiN layer 410 will have a ratio of the thinnest portion to the thickness at the flat top portion 410 that will be greater than 80%. This is known as having a step coverage of 80%. The TiN layer 410 is shown as being a single layer, but the subject matter is not so limited, and typically the TiN layer 410 would have a thickness of from 50 to 200 Angstroms, and be formed of from 100 to 400 individual layer of TiN, each layer formed in one ALD cycle. The individual layers may have a graded composition, or they may have an identical composition. TiN layer 410 may be covered by another metal layer, such as aluminum.

Structures such as shown in FIGS. 3 and 4 may be used in any integrated circuit or transistor devices, such as flash memory devices as well as other memory, logic or information handling devices and systems. Embodiments of these information handling devices include wireless systems, telecommunication systems, computers and integrated circuits.

FIG. 5 illustrates a diagram for an electronic system 500 having one or more devices having atomic layer deposited TiN layers formed according to various embodiments of the present invention. Electronic system 500 includes a controller 502, a bus 504, and an electronic device 506, where bus 504 provides electrical conductivity between controller 502 and electronic device 506. In various embodiments, controller 502 and/or electronic device 506 include an embodiment for an ALD conductive TiN layer as previously discussed herein. Electronic system 500 may include, but is not limited to, information handling devices, wireless systems, telecommunication systems, fiber optic systems, electro-optic systems, and computers.

FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 602 and a memory 606. Controller 602 and/or memory 606 includes a ALD TiN conductive layer. System 600 also includes an electronic apparatus 608, and a bus 604, where bus 604 may provide electrical conductivity and data transmission between controller 602 and electronic apparatus 608, and between controller 602 and memory 606. Bus 604 may include an address, a data bus, and a control bus, each independently configured. Bus 604 also uses common conductive lines for providing address, data, and/or control, the use of which may be regulated by controller 602. In an embodiment, electronic apparatus 608 includes additional memory devices configured similarly to memory 606. An embodiment includes an additional peripheral device or devices 610 coupled to bus 604. In an embodiment controller 602 is a processor. Any of controller 602, memory 606, bus 604, electronic apparatus 608, and peripheral device or devices 610 may include a signal conductor layer having an ALD deposited TiN layer in accordance with the disclosed embodiments.

System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers. Peripheral devices 610 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 602 and/or memory 606. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device. Memory types include DRAM, SRAM (Static Random Access Memory) or Flash memories.

TiN conductive layers formed by ALD using the TDEAT precursor and the 1 to 7 mixture of ammonia and carbon monoxide have resistivity in the range of 600 to 800 μohm-cm, as compared to 6,000 μohm-cm with previous deposition methods, and have step coverage of greater than 80%, as compared to less than 60% with previous deposition methods. Deposition rates are in the same range as previous deposition methods, and the thickness control and standard deviation of film thickness variation are also the same, resulting in an improved process that continues to possess manufacturing robustness.

Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations of embodiments of the present invention, including TiN layers with graded compositions. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments and other embodiments will be apparent to those of skill in the art upon studying the above description. The scope of the present invention includes any other applications in which embodiments of the above structures and fabrication methods are used. The scope of the embodiments of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Marsh, Eugene P., Kraus, Brenda D

Patent Priority Assignee Title
Patent Priority Assignee Title
4058430, Nov 29 1974 PLANAR INTERNATIONAL OY A CORP OF FINLAND Method for producing compound thin films
4389973, Jun 21 1979 PLANAR INTERNATIONAL OY A CORP OF FINLAND Apparatus for performing growth of compound thin films
4413022, Feb 28 1979 PLANAR INTERNATIONAL OY A CORP OF FINLAND Method for performing growth of compound thin films
4811078, Mar 07 1986 Texas Instruments Incorporated Integrated circuit device and process with tin capacitors
4814854, Mar 07 1986 Texas Instruments Incorporated Integrated circuit device and process with tin-gate transistor
4815962, Dec 11 1987 Senshin Capital, LLC Process for coating synthetic optical substrates
4897709, Apr 15 1988 Hitachi, Ltd. Titanium nitride film in contact hole with large aspect ratio
4931411, Dec 05 1985 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
5080928, Oct 05 1990 OSRAM SYLVANIA Inc Method for making moisture insensitive zinc sulfide based luminescent materials
5192589, Sep 05 1991 Micron Technology, Inc.; Micron Technology, Inc Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
5246881, Apr 14 1993 Micron Technology, Inc Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
5399379, Apr 14 1993 Micron Technology, Inc Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
5610099, Jun 28 1994 Intellectual Ventures I LLC Process for fabricating transistors using composite nitride structure
5659057, Feb 09 1996 Micron Technology, Inc Five- and six-coordinate precursors for titanium nitride deposition
5747116, Nov 08 1994 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of forming an electrical contact to a silicon substrate
5866205, Feb 09 1996 Micron Technology, Inc. Process for titanium nitride deposition using five- and six-coordinate titanium complexes
5895267, Jul 09 1997 Bell Semiconductor, LLC Method to obtain a low resistivity and conformity chemical vapor deposition titanium film
5901271, Apr 03 1995 Novellus Systems, Inc. Process of evaporating a liquid in a cyclone evaporator
5990559, Aug 27 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Circuitry comprising roughened platinum layers, platinum-containing materials, capacitors comprising roughened platinum layers, methods forming roughened layers of platinum, and methods of forming capacitors
6015590, Nov 28 1994 ASM America, Inc Method for growing thin films
6054768, Oct 02 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Metal fill by treatment of mobility layers
6081034, Jun 12 1992 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
6091148, Sep 10 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Electrical connection for a semiconductor structure
6171900, Apr 15 1999 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
6175129, Feb 11 1997 Micron Technology, Inc. Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures
6197628, Aug 27 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Ruthenium silicide diffusion barrier layers and methods of forming same
6200893, Mar 11 1999 AIXTRON, INC Radical-assisted sequential CVD
6203613, Oct 19 1999 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
6204172, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low temperature deposition of barrier layers
6214662, Jul 03 2000 Taiwan Semiconductor Manufacturing Company Forming self-align source line for memory array
6218293, Nov 13 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
6271131, Aug 26 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
6277693, Dec 16 1999 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Self-aligned process for forming source line of ETOX flash memory
6278150, Sep 05 1996 Renesas Electronics Corporation Conductive layer connecting structure and method of manufacturing the same
6291340, Jun 12 1992 Micron Technology, Inc. Method of forming low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
6297555, Jul 09 1997 Bell Semiconductor, LLC Method to obtain a low resistivity and conformity chemical vapor deposition titanium film
6302964, Jun 16 1998 Applied Materials, Inc One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
6323081, Sep 03 1998 CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC Diffusion barrier layers and methods of forming same
6325017, Feb 27 1997 Micron Technology, Inc. Apparatus for forming a high dielectric film
6338880, Sep 04 1998 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
6342277, Aug 16 1996 ASM INTERNATIONAL N V Sequential chemical vapor deposition
6348386, Apr 16 2001 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for making a hafnium-based insulating film
6365507, Mar 01 1999 CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC Method of forming integrated circuitry
6365519, Nov 13 1998 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
6380579, Apr 12 1999 Samsung Electronics Co., Ltd. Capacitor of semiconductor device
6383955, Feb 05 1998 ASM Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
6391769, Aug 19 1998 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
6399491, Apr 20 2000 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
6410432, Apr 27 1999 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
6420279, Jun 28 2001 Sharp Kabushiki Kaisha Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
6423619, Nov 30 2001 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Transistor metal gate structure that minimizes non-planarity effects and method of formation
6432779, May 18 2000 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Selective removal of a metal oxide dielectric
6445023, Mar 16 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Mixed metal nitride and boride barrier layers
6448192, Apr 16 2001 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for forming a high dielectric constant material
6458701, Oct 20 1999 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
6465334, Oct 05 2000 GLOBALFOUNDRIES U S INC Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
6468924, Dec 06 2000 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
6475276, Oct 15 1999 ASM INTERNATIONAL N V Production of elemental thin films using a boron-containing reducing agent
6482733, May 15 2000 ASM INTERNATIONAL N V Protective layers prior to alternating layer deposition
6482740, May 15 2000 ASM INTERNATIONAL N V Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
6485988, Dec 22 1999 BROADCOM INTERNATIONAL PTE LTD Hydrogen-free contact etch for ferroelectric capacitor formation
6486047, Nov 30 2000 Electronics and Telecommunications Research Institute Apparatus for forming strontium-tantalum-oxide thin film
6495436, Feb 09 2001 Micron Technology, Inc. Formation of metal oxide gate dielectric
6514828, Apr 20 2001 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of fabricating a highly reliable gate oxide
6521911, Jul 20 2000 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
6524952, Jun 25 1999 Applied Materials, Inc Method of forming a titanium silicide layer on a substrate
6531192, Sep 04 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound
6534395, Mar 07 2000 ASM INTERNATIONAL N V Method of forming graded thin films using alternating pulses of vapor phase reactants
6534420, Jul 18 2001 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods for forming dielectric materials and methods for forming semiconductor devices
6537901, Dec 29 2000 Hynix Semiconductor Inc Method of manufacturing a transistor in a semiconductor device
6548405, Nov 13 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
6551399, Jan 10 2000 AIXTRON, INC Fully integrated process for MIM capacitors using atomic layer deposition
6576053, Oct 06 1999 TARGUS GROUP INTERNATIONAL, INC Method of forming thin film using atomic layer deposition method
6590251, Dec 08 1999 Samsung Electronics Co., Ltd. Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
6599781, Sep 27 2000 LI FAMILY HOLDING, LTD Solid state device
6603328, Oct 10 2000 Texas Instruments Incorporated Semiconductor integrated circuit
6605549, Sep 29 2001 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
6614079, Jul 19 2001 GLOBALFOUNDRIES U S INC All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS
6617634, Aug 30 2000 CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC RuSixOy-containing adhesion layers and process for fabricating the same
6620670, Jan 18 2002 Applied Materials, Inc.; Applied Materials, Inc Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
6624517, Jun 12 1992 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
6630201, Apr 05 2001 Novellus Systems, Inc Adsorption process for atomic layer deposition
6630391, Aug 24 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Boron incorporated diffusion barrier material
6630718, Jul 26 1999 Micron Technology, Inc. Transistor gate and local interconnect
6632736, Jun 12 1992 Micron Technology, Inc. Method of forming low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
6635939, Aug 24 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Boron incorporated diffusion barrier material
6656282, Oct 11 2001 MOOHAN CO , LTD Atomic layer deposition apparatus and process using remote plasma
6674109, Sep 30 1999 Rohm Co., Ltd. Nonvolatile memory
6723642, Oct 22 2002 Electronics and Telecommunications Research Institute Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition
6727169, Oct 15 1999 ASM INTERNATIONAL N V Method of making conformal lining layers for damascene metallization
6737317, Aug 30 2000 CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC Method of manufacturing a capacitor having RuSixOy-containing adhesion layers
6770521, Nov 30 2001 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
6784515, Sep 27 2000 LI FAMILY HOLDING, LTD Semiconductor integrated circuit device
6800173, Dec 15 2000 Novellus Systems, Inc Variable gas conductance control for a process chamber
6812139, Oct 02 1997 Micron Technology, Inc. Method for metal fill by treatment of mobility layers
6830983, Aug 29 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
6849298, Jun 12 2001 INTELLECTUAL DISCOVERY CO LTD Method for forming diffusion barrier film of semiconductor device
6873020, Feb 22 2002 North Carolina State University High/low work function metal alloys for integrated circuit electrodes
6881667, Jun 12 1992 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
6902763, Oct 15 1999 ASM INTERNATIONAL N V Method for depositing nanolaminate thin films on sensitive surfaces
6908849, Aug 30 2001 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
6911381, Aug 24 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Boron incorporated diffusion barrier material
6919273, Dec 09 1999 Tokyo Electron Limited Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film
6921702, Jul 30 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
6953730, Dec 20 2001 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
6953743, Jun 12 1992 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
6958302, Dec 04 2002 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
6967154, Aug 26 2002 Round Rock Research, LLC Enhanced atomic layer deposition
7018933, Jun 07 2000 Samsung Electronics, Co., Ltd. Method of forming a metal-insulator-metal capacitor
7118942, Sep 27 2000 VERTEX PHARMACEUTICALS INCORPORATED Method of making atomic integrated circuit device
7208779, Apr 16 2004 Kabushiki Kaisha Toshiba Semiconductor device
7294528, Dec 31 2001 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
7351628, Aug 22 2002 Round Rock Research, LLC Atomic layer deposition of CMOS gates with variable work functions
7473637, Jul 20 2005 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT ALD formed titanium nitride films
7727882, Dec 17 2007 Novellus Systems, Inc Compositionally graded titanium nitride film for diffusion barrier applications
8058729, Jul 20 2005 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Titanium nitride films
20010009695,
20010014521,
20010023099,
20010034097,
20010048981,
20010050039,
20020001906,
20020004299,
20020106896,
20020146916,
20020155219,
20020155688,
20020160125,
20020187261,
20020192974,
20020195683,
20030017717,
20030082296,
20030143328,
20030162342,
20030168001,
20030198587,
20040023810,
20040036129,
20040043578,
20040084772,
20040121616,
20040140513,
20040164362,
20040178432,
20040214399,
20040217410,
20040238859,
20050010145,
20050032342,
20050042373,
20050054196,
20050064636,
20050104112,
20050106877,
20050127461,
20050179097,
20050205913,
20060009034,
20060011949,
20060040461,
20060046521,
20060093848,
20060113603,
20060113605,
20060134870,
20060237796,
20070004186,
20070020923,
20070065594,
20070116887,
20070164323,
20070164367,
EP1920456,
JP2003059930,
KR20030001103,
KR20040058905,
WO2007013924,
//////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Nov 14 2011Micron Technology, Inc.(assignment on the face of the patent)
Apr 26 2016Micron Technology, IncU S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTCORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001 ASSIGNOR S HEREBY CONFIRMS THE SECURITY INTEREST 0430790001 pdf
Apr 26 2016Micron Technology, IncMORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTPATENT SECURITY AGREEMENT0389540001 pdf
Apr 26 2016Micron Technology, IncU S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0386690001 pdf
Jun 29 2018U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0472430001 pdf
Jul 03 2018MICRON SEMICONDUCTOR PRODUCTS, INC JPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0475400001 pdf
Jul 03 2018Micron Technology, IncJPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTSECURITY INTEREST SEE DOCUMENT FOR DETAILS 0475400001 pdf
Jul 31 2019JPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTMICRON SEMICONDUCTOR PRODUCTS, INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0510280001 pdf
Jul 31 2019JPMORGAN CHASE BANK, N A , AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0510280001 pdf
Jul 31 2019MORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTMicron Technology, IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0509370001 pdf
Date Maintenance Fee Events
Dec 30 2013ASPN: Payor Number Assigned.
Jul 06 2017M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jul 13 2021M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
Jan 21 20174 years fee payment window open
Jul 21 20176 months grace period start (w surcharge)
Jan 21 2018patent expiry (for year 4)
Jan 21 20202 years to revive unintentionally abandoned end. (for year 4)
Jan 21 20218 years fee payment window open
Jul 21 20216 months grace period start (w surcharge)
Jan 21 2022patent expiry (for year 8)
Jan 21 20242 years to revive unintentionally abandoned end. (for year 8)
Jan 21 202512 years fee payment window open
Jul 21 20256 months grace period start (w surcharge)
Jan 21 2026patent expiry (for year 12)
Jan 21 20282 years to revive unintentionally abandoned end. (for year 12)