A method for manufacturing a plasma processing system is provided. The method includes providing a movable plasma-facing structure configured to surround a plasma that is generated during processing of a substrate. The method also includes disposing a movable electrically conductive structure outside of the movable plasma-facing structure, wherein both structures configured to be deployed and retracted as a single unit to facilitate handling of the substrate. The movable electrically conductive structure is radio frequency (rf) grounded during the plasma processing. During processing, the rf current from the plasma flows to the movable electrically conductive structure through the movable plasma-facing structure during the plasma processing. The method further includes coupling a set of conductive straps to the movable electrically conductive structure. The set of conductive straps accommodates the movable electrically conductive structure when it is deployed and retracted while providing the rf current a low impedance path to ground.

Patent
   8677590
Priority
Dec 19 2008
Filed
Sep 09 2013
Issued
Mar 25 2014
Expiry
Jan 28 2029
Assg.orig
Entity
Large
2
144
EXPIRED
1. A method for manufacturing a plasma processing system with a plasma processing chamber, comprising:
providing a movable plasma-facing structure configured to surround a plasma, wherein said plasma is generated during plasma processing of a substrate within said plasma processing chamber;
disposing a movable electrically conductive structure outside of said movable plasma-facing structure, wherein said movable electrically conductive structure is configured to be deployed and retracted with said movable plasma-facing structure as a single unit to facilitate handling of said substrate, said movable electrically conductive structure being radio frequency (rf) grounded during said plasma processing, wherein said movable plasma-facing structure is disposed between said plasma and said movable electrically conductive structure during said plasma processing such that rf current from said plasma flows to said movable electrically conductive structure through said movable plasma-facing structure during said plasma processing; and
coupling a set of conductive straps to said movable electrically conductive structure, wherein said set of conductive straps accommodates said movable electrically conductive structure when said movable electrically conductive structure is deployed and retracted while providing said rf current a low impedance path to ground.
12. A method for manufacturing a plasma processing system with a plasma processing chamber, comprising:
providing a movable plasma confinement structure configured for confining a plasma in said plasma processing chamber during plasma processing of a substrate, said plasma processing chamber having a plasma region wherein plasma is generated, said plasma region disposed above a lower electrode and between an upper electrode and said lower electrode;
providing a cylindrical-shaped movable plasma-facing structure configured to surround said plasma region that is disposed above said lower electrode and between said upper electrode and said lower electrode to confine a plasma in said plasma region;
disposing a cylindrical-shaped movable electrically conductive structure outside of said cylindrical-shaped movable plasma-facing structure, wherein both said cylindrical-shaped movable plasma-facing structure and said cylindrical-shaped movable electrically conductive structure are retractable in a direction parallel to a cylindrical axis of said plasma processing chamber, wherein said cylindrical-shaped movable plasma-facing structure is disposed between said plasma and said cylindrical-shaped movable electrically conductive structure during said plasma processing such that at least a portion of rf current from said plasma flows to said cylindrical-shaped movable electrically conductive structure through said cylindrical-shaped movable plasma-facing structure during said plasma processing; and
coupling a set of conductive structures to said cylindrical-shaped movable electrically conductive structure, said cylindrical-shaped movable electrically conductive structure conducting said at least a portion of rf current to ground during said plasma processing.
2. The method of claim 1 further including disposing a breakable rf contact between said movable electrically conductive structure and said ground such that said low impedance path to said ground is formed through said breakable rf contact when said movable electrically conductive structure is deployed.
3. The method of claim 2 further including forming at least one slot in both said movable plasma-facing structure and said movable electrically conductive structure to facilitate removal of exhaust gas during said plasma processing.
4. The method of claim 1 further including disposing said set of conductive straps around the circumference of said movable electrically conductive structure to provide multiple low impedance paths to said ground.
5. The method of claim 1 wherein said movable plasma-facing structure being a cylindrical-shaped structure and being formed of a material that is resistant to etching by said plasma.
6. The method of claim 1 wherein said movable plasma-facing structure being formed of quartz.
7. The method of claim 1 wherein said movable plasma-facing structure being formed of doped-SiC.
8. The method of claim 1 further including employing said movable plasma-facing structure to shield said movable electrically conductive structure from exposure to said plasma during said plasma processing.
9. The method of claim 1 wherein said movable electrically conductive structure being separated from said movable plasma facing structure by a gap to accommodate thermal expansion.
10. The method of claim 1 wherein said movable plasma-facing structure being a cylindrical-shaped structure and said at least one slot is disposed along an axis of said cylindrical-shaped structure.
11. The method of claim 1 wherein at least one flexible conductive strap of said set of conductive straps is coupled to said movable electrically conductive structure.
13. The method of claim 12 further including employing said cylindrical-shaped movable plasma-facing structure to shield said cylindrical-shaped movable electrically conductive structure from exposure to said plasma during said plasma processing.
14. The method of claim 12 wherein said cylindrical-shaped movable electrically conductive structure backs said cylindrical-shaped movable plasma-facing structure.
15. The method of claim 12 further including coupling said cylindrical-shaped movable electrically conductive structure to said cylindrical-shaped movable plasma-facing structure through a thermal expansion gap.
16. The method of claim 12 further including disposing a breakable rf contact between said cylindrical-shaped movable electrically conductive structure and ground such that a low impedance path to said ground is formed through said breakable rf contact when said cylindrical-shaped movable electrically conductive structure is deployed.
17. The method of claim 12 further including forming a first aperture in said cylindrical-shaped movable plasma-facing structure and a second aperture in said cylindrical-shaped movable electrically conductive structure to facilitate removal of exhaust gas during said plasma processing.
18. The method of claim 12 further including disposing said set of conductive straps around the circumference of said cylindrical-shaped movable electrically conductive structure to provide multiple low impedance paths to said ground.
19. The method of claim 12 wherein said cylindrical-shaped movable electrically conductive structure includes at least one flexible conductive strap.
20. The method of claim 12 further including forming at least one breakable rf contact, wherein said breakable rf contact completing an rf current path from said cylindrical-shaped movable electrically conductive structure to ground when said cylindrical-shaped movable electrically conductive structure is deployed, said breakable rf contact breaks said rf current path from said cylindrical-shaped movable electrically conductive structure to said ground when said cylindrical-shaped movable electrically conductive structure is retracted.

This application is a divisional and claims priority under 35 U.S.C. §120 to a previously filed patent application entitled “PLASMA CONFINEMENT STRUCTURES IN PLASMA PROCESSING SYSTEMS”, by Hudson et al., application Ser. No. 12/361,494 filed on Jan. 28, 2009, which is related to and claims priority under 35 U.S.C. §119(e) to a commonly assigned provisional patent application entitled “Plasma Confinement Structures In Plasma Processing Systems,” by Hudson et al., application Ser. No. 61/139,491 filed on Dec. 19, 2008, all of which are incorporated herein by reference.

Plasma processing systems have long been employed to process substrates (e.g., wafers) in plasma processing systems. In a typical plasma processing chamber, plasma is ignited and confined in a plasma confinement region, which is typically defined by the chamber upper and lower structures, as well as by structures that annularly surround the plasma confinement region.

To facilitate the insertion and removal of substrates, as well as to facilitate the evacuation of exhaust gas from the plasma processing chamber, many chambers employ a set of movable confinement rings to annularly confine the plasma. The movable confinement rings can be lifted upward, for example, to facilitate substrate insertion and removal. Generally speaking, the spacing between adjacent rings of the movable confinement rings is dimensioned to permit exhaust gas to be evacuated through the spacing while presenting a barrier to plasma expansion (e.g., by making the spacing smaller than the plasma sheath). In this manner, it is possible to physically constrain the plasma while allowing exhaust gas removal to occur through the set of movable confinement rings.

To facilitate discussion, FIG. 1 shows a simplified diagram of a portion of a prior art capacitively-coupled plasma processing chamber 100. There is shown a lower electrode 102 for supporting a substrate (not shown) during processing. Lower electrode 102 is typically powered by an RF power source (not shown) to generate and sustain a plasma 104. For process control purposes, it is desirable to confine plasma 104 within a plasma confinement region defined generally by lower electrode 102, upper electrode 106 (which may be grounded or powered by the same or another RF power source), and annularly by a set of confinement rings 110 (including rings 110a-d). As mentioned, gaps between confinement rings 110 allow exhaust gas to be pumped from the chamber while keeping the plasma confined within the aforementioned plasma confinement region. Confinement rings 110 may be made of a suitable material, such as quartz.

In the example of FIG. 1, there is also shown an annular grounded electrode 112 surrounding lower electrode 102. Annular grounded electrode 112 may be slotted to provide additional flow channels for evacuating exhaust gas from the chamber. Generally speaking, annular grounded electrode 112 is formed of a conductive material such as aluminum, and is electrically isolated from lower electrode 102 by an insulator (not shown). Grounding of grounded electrode 112 is accomplished by coupling grounded electrode 112 to an RF ground, typically via one or more straps to a conductive lower ground extension that is disposed below lower electrode 112.

To prevent the metallic material of annular grounded electrode 112 from being exposed to the corrosive plasma and possibly contaminating the plasma process, the surface of annular grounded electrode 112 may be covered with a suitable material, such as quartz. As in the case with the set of confinement rings 110, the slots in annular grounded electrode 112 (and the overlying layer of quartz) are dimensioned to permit exhaust gas evacuation while preventing plasma from expanding beyond the plasma confinement region. The use of both confinement rings 110 and annular grounded electrode 112 in plasma processing chambers is known and will not be elaborated further here.

Generally speaking, confinement rings 110 are electrically floating, i.e., having no direct coupling to DC ground or RF ground. Since confinement rings 110 tend to be some distant away from RF ground in the prior art, no appreciable RF current flows through the set of confinement rings.

Since confinement rings 110 are left electrically floating and no appreciable RF current flows through confinement rings 110, a low voltage “floating” sheath is developed at the surface of confinement rings 110 during plasma processing. Since the energy of ions accelerated from the plasma is governed by the sheath potential, a low sheath potential results in a low energy level of ion bombardment on the surfaces of the confinement rings. Consequently, film removal processes such as sputtering and ion-enhanced etching (such as those occurring during in-situ plasma clean processes) are relatively inefficient at the surface of the confinement rings. Furthermore, a higher quantity of deposition is left on the surface of the confinement rings after processing due to the low ion bombardment energy. By comparison, other regions of the chamber experiencing higher ion bombardment energy will see a higher film removal rate during film removal processes and a lower level of film deposition during substrate processing.

The net result is that the confinement rings tend to accumulate residues at a higher rate (relative to chamber regions that experience a higher ion bombardment energy) during substrate processing, and these residues tend to be removed more slowly (relative to chamber regions that experience a higher ion bombardment energy) during plasma in-situ chamber clean processes. These factors necessitate more frequent and/or longer in-situ chamber clean cycles (such as waferless auto-clean or WAC cycles) to keep the confinement rings in a satisfactory condition and may even require, in some cases, halting processing altogether so that the confinement rings can be removed and cleaned and/or replaced. As a consequence, the substrate throughput rate is disadvantageously reduced, leading to lower productivity and a higher cost of ownership for the plasma processing tool.

The invention relates, in an embodiment, to a movable plasma confinement structure configured for confining plasma in a plasma processing chamber during plasma processing of a substrate. The movable plasma confinement structure includes a movable plasma-facing structure configured to surround the plasma. The movable plasma confinement structure also includes a movable electrically conductive structure disposed outside of the movable plasma-facing structure and configured to be deployed and retracted with the movable plasma-facing structure as a single unit to facilitate handling of the substrate. The movable electrically conductive structure is radio frequency (RF) grounded during the plasma processing. The movable plasma-facing structure is disposed between the plasma and the movable electrically conductive structure during the plasma processing such that RF current from the plasma flows to the movable electrically conductive structure through the movable plasma-facing structure during the plasma processing.

The above summary relates to only one of the many embodiments of the invention disclosed herein and is not intended to limit the scope of the invention, which is set forth in the claims herein. These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.

The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:

FIG. 1 shows a simplified diagram of a portion of a prior art capacitively-coupled plasma processing chamber.

FIG. 2 shows, in accordance with an embodiment of the present invention, a simplified portion of a plasma processing chamber including the movable RF-grounded plasma confinement assembly.

FIG. 3 shows, in accordance with an embodiment of the present invention, an alternative or additional embodiment that includes an optional bottom RF contact for shortening the RF current path to ground.

The present invention will now be described in detail with reference to a few embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.

The inventors herein have noted that when a component is electrically floating, i.e., uncoupled to a DC or an RF ground, the plasma sheath voltage developed at its surface is relatively low in potential. Such is the case with prior an confinement rings, e.g., confinement rings 110 of FIG. 1. In contrast, although there exists a thin layer of quartz on top of annular grounded electrode 112 of FIG. 1, the inventors have noted that residue accumulates at a slower rate on the surface of annular grounded electrode 112 compared to the accumulation rate on the surface of confinement rings 110. It is believe that although the quartz layer acts as a DC insulator, the fact that the quartz layer is relatively thin renders it possible for the RF current to flow to ground through the relatively low impedance path through the thin quartz layer, thereby resulting in a higher plasma sheath voltage and concomitantly a higher level of ion bombardment.

From this understanding, the following embodiments of the invention are herein disclosed. Generally speaking, one or more embodiments of the invention relate to improved methods and arrangements for processing substrates in a plasma processing chamber while reducing residue accumulation on the confinement rings and/or structures that face the plasma. In one or more embodiments, the improvement also helps keep the plasma confined in the plasma confinement region, i.e., reducing the possibility of a plasma unconfinement event occurring in the annular outer region outside of the aforementioned plasma confinement region.

In one or more embodiments of the invention, a movable RF-grounded plasma confinement assembly (movable RFG plasma confinement assembly) is provided. The movable RFG plasma confinement assembly includes at least a movable plasma confinement structure that is both DC insulated and well-coupled to RF ground. In an embodiment, the movable plasma confinement structure includes a movable plasma-facing structure (which may be formed of quartz or another similarly suitable material) and a movable electrically conductive structure coupled to the back of the movable plasma-facing structure to provide a RF current path to ground. That is, one side of the movable plasma-facing structure faces the plasma, and the other side is coupled to the movable electrically conductive structure, which is shielded from the plasma by the movable plasma-facing structure.

In practice, a small gap may be provided (about 0.01 inch, in an example) between the movable plasma-facing structure and the movable electrically conductive structure to accommodate thermal expansion. Grooves, shoulders, fasteners, spacers, and other known mechanical coupling techniques, the details of which are not germane to the present invention, may be employed to achieve the coupling of the movable plasma-facing structure with the movable electrically conductive structure while leaving the aforementioned thermal expansion gap in between.

The movable plasma confinement structure that comprises the movable plasma-facing structure and the attached electrically conductive structure moves up and down as a single unit during substrate insertion and removal. In an embodiment, the plasma-facing confinement structure represents a cylindrical-shaped quartz inner sleeve, and the electrically conductive structure represents a cylindrical-shaped anodized aluminum outer sleeve that is disposed outside of and coupled to the cylindrical-shaped quartz inner sleeve.

Although the above example discusses an insulating plasma-facing structure, the plasma facing structure is not limited to being insulative. Generally speaking, any plasma resistant and process compatible structure may be employed as long as the movable plasma confinement structure as a whole allows the RF current from the plasma to traverse in the manner discussed earlier and facilitates the generation of a high plasma sheath voltage to, for example, improve ion bombardment.

In one or more embodiments, the movable plasma confinement structure includes a movable plasma-facing structure formed of a material that is conductive and process-compatible (which may by formed of doped SiC or another processing compatible material) and a movable electrically conductive support structure coupled to the movable plasma-facing structure to provide a RF current path to ground. In an embodiment, the plasma-facing structure represents a doped-SiC cylinder and the electrically conductive structure represents a ring-shaped anodized aluminum mount that is coupled to the doped-SiC cylinder. In an embodiment, the plasma-facing structure is disposed above and coupled to the electrically conductive structure.

The movable RFG plasma confinement assembly further includes one or more flexible conductive straps coupled to the movable electrically conductive structure to provide the RF current a low impedance path to RF ground, which is typically the chamber wall or another electrically conductive structure that is coupled to RF ground. In an embodiment, one or more additional RF contacts may optionally be provided to shorten the RF current path to ground when the movable plasma confinement structure is in a deployed position during substrate processing. As will be explained later herein, the shortening of the RF current path when the plasma is present helps reduce the capacitive coupling and the inductive coupling in the annular outer region outside of the plasma confinement region, thereby reducing the possibility of inadvertently igniting and/or sustaining unconfined plasma in this annular outer region.

The features and advantages of embodiments of the invention may be better understood with reference to the figures and discussions that follow.

FIG. 2 shows, in accordance with an embodiment of the present invention, a simplified portion of a plasma processing chamber including a movable RFG plasma confinement assembly 200. Movable RFG plasma confinement assembly 200 includes a movable plasma confinement structure 202 that includes a movable plasma-facing structure 204 and a movable electrically conductive structure 206. In the example of FIG. 2, movable plasma-facing structure 204 is implemented by a cylindrical-shaped quartz sleeve 204a and a horizontal quartz ring 204b, although any other suitable material that is compatible with the plasma process may be employed. Cylindrical-shaped quartz sleeve 204a faces the plasma during processing, while horizontal quartz ring 204b protects movable electrically conductive structure 206 from exposure to plasma during plasma processing and/or exhaust gas evacuation.

Movable electrically conductive structure 206 is implemented in the example of FIG. 2 by a cylindrical-shaped anodized aluminum sleeve that backs cylindrical-shaped quartz ring 204a. Although anodized aluminum is employed, any suitable conductive material may also be employed. Movable electrically conductive structure 206 provides a low impedance path for RF current that traverses the thin material of cylindrical-shaped quartz ring 204a. One or more flexible conductive straps 212 couple movable electrically conductive structure 206 to a grounded chamber component, such as the chamber top plate as shown in the example of FIG. 2 or to some other grounded chamber component. In an embodiment, multiple conductive straps may be disposed around the circumference of the cylindrical-shaped anodized aluminum sleeve to provide multiple paths to ground and cylindrical symmetry for the returning RF current. As mentioned, it is desirable in some cases to provide a thermal expansion gap between movable plasma-facing structure 204 and movable electrically conductive structure 206.

To facilitate substrate insertion and removal into the chamber, movable plasma confinement structure 202 (including both movable plasma-facing structure 204 and movable electrically conductive structure 206) may be moved up and down as needed. The specific mechanism employed for achieving the linear translation in the up/down direction may be any type of transducer and/or gearing, including electro-mechanical, air-actuated, etc, which has been described in prior art. Flexible conductive strap 212 flexes to accommodate the movement of movable plasma confinement structure 202 while maintaining the desired low impedance path to ground.

During plasma processing, movable plasma confinement structure 202 is lowered into a deployed position as shown in FIG. 2. Exhaust gas may be evacuated through gap 214 that exists under movable plasma confinement structure 202. The dimension of gap 214 may be dimensioned to permit exhaust gas evacuation while presenting a barrier to plasma expansion to prevent the occurrence of a plasma unconfinement event in the annular outer region 220 outside of plasma confinement region 222. If desired, additional slots or holes may be formed through movable plasma confinement structure 202 and movable electrically conductive structure 206 to increase exhaust gas conductance. One such slot is shown as slot 230 in the example of FIG. 2. Slots may be oriented vertically as well as horizontally or both. Additionally, slots may be placed in the annular ground 232 to provide additional exhaust gas conductance while maintaining plasma confinement, as described in prior art.

Since there is a low impedance RF current path to ground, the plasma sheath voltage that is developed at the surface of plasma-facing structure 204 will be higher than in the case of FIG. 1, where the confinement rings are electrically floating. The higher plasma sheath voltage results in a higher ion bombardment energy, which reduces residue accumulation on plasma-facing structure 204 during processing and increases residue removal during plasma cleaning processes. Furthermore, the higher ion energy bombardment also heats up the plasma-facing surfaces of movable plasma confinement structure 202, further contributing to a reduction of residue accumulation during processing and an increase in residue removal during plasma cleaning processes.

The presence of electrically conductive structure 206 also helps reduce the occurrence of unwanted unconfinement plasma events in annular outer region 220. With reference back to FIG. 1, since quartz confinement rings 110 are electrically floating, a capacitive field is set up with field lines emanating from the plasma and terminating at grounded chamber surfaces 138 outside of confinement rings 110. These field lines are shown as field lines 140a-d, for example. The presence of a capacitive field in the annular outer region 142 outside of plasma confinement region 144 increases the possibility that plasma may be inadvertently ignited and/or sustained in this annular outer region 142, i.e., an unconfined plasma event.

In the embodiment of FIG. 2, however, most capacitive field lines emanating from the plasma terminate at movable electrically conductive structure 206 (shown by field lines 214a-c). Although some field lines may traverse movable plasma-facing structure 204 and gap 214 under movable plasma confinement structure 202 to terminate at other grounded components, the fact that field lines 214a-c no longer traverse annular outer region 220 substantially reduces and/or eliminates the presence of a capacitive field in annular outer region 220. By substantially reducing and/or eliminating the presence of a capacitive field in annular outer region 220, it is less likely that unconfined plasma may be formed and/or sustained in annular outer region 220.

FIG. 3 shows, in accordance with an embodiment of the present invention, an alternative or additional embodiment that includes an optional bottom RF contact for shortening the RF current path to ground and thus reducing the ground-current inductive field in annular outer region 220 to further reduce the possibility of unconfined plasma formation. Referring back to FIG. 1, RF current from the plasma follows along the low impedance path shown by arrow 150. This RF current flows along chamber walls that are adjacent to annular outer region 142 and sets up an inductive field that encourages the formation of unconfinement plasma in annular outer region 142.

In FIG. 3, a breakable RF contact 302a is provided at the bottom of movable electrically conductive structure 206. There is another corresponding breakable RF contact 302b coupled to RF ground. To ensure a good RF contact, a spring or other resilient mechanism may be employed to urge the two RF contacts 302a and 302b together when the movable plasma confinement structure is deployed and RF connectivity is desired. In an embodiment, one or each of RF contacts 302a and 302b may be mounted at least partially in a hole or depression, with the spring or resilient mechanism disposed under the RF contact at the bottom of such depression or hole to urge the RF contacts toward its counterpart to ensure good RF connectivity when the movable plasma confinement structure, including movable electrically conductive structure 206, is in a deployed position. For illustration clarity, the movable plasma confinement structure is shown only in a partially deployed position in FIG. 3, with the RF contacts not yet in physical contact with one another.

In the example of FIG. 3, corresponding breakable RF contact 302b is coupled to the conductive material of annular grounded electrode 304. In an embodiment, SiC is employed for the breakable RF contacts although any other electrically conductive material that is both compatible with the plasma process and sufficiently durable to withstand repeated contact making-and-breaking may also be employed.

During plasma processing, the movable plasma confinement structure is deployed in the down or deployed position, and breakable RF contacts 302a and 302b are urged together to permit RF current to flow in the direction of arrow 320 at the surface facing plasma region 222. Note that the RF current in FIG. 3 traverses movable electrically conductive structure 206 and RF contacts 302a/302b on the way to RF ground. This is in contrast to the situation in FIG. 1, where the RF current flows along the chamber surfaces that are adjacent to outer annular region 142 (see arrow 150 in FIG. 1). Since RF current does not flow along the chamber surfaces that are adjacent to outer annular region 220, but rather at the inner, plasma facing surface of conductive structure 206, no inductive field is set up in this outer annular region 220 to promote the formation or sustaining of unwanted unconfinement plasma.

As can be appreciated from the foregoing, embodiments of the invention contribute to reduced residue formation on the plasma confinement structure surfaces during processing and also contribute to improved residue removal during in-situ plasma clean processes. The presence of the movable electrically conductive structure for terminating capacitive field lines emanating from the plasma also renders it less likely that unwanted unconfinement plasma would be ignited and/or sustained in the outer annular region by a capacitive field. If a breakable RF contact is provided as discussed, the shorter RF current path to ground that bypasses the chamber wall surfaces adjacent to the outer annular region also substantially reduces and/or eliminates the possibility that unwanted unconfinement plasma would be ignited and/or sustained by an inductive field in the outer annular region. These improvements help improve wafer throughput and process control, leading to a lower cost of ownership and improved yield.

While this invention has been described in terms of several embodiments, there are alterations, permutations, and equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. Although various examples are provided herein, it is intended that these examples be illustrative and not limiting with respect to the invention. Furthermore, embodiments of the present invention may find utility in other applications.

Also, the title and summary are provided herein for convenience and should not be used to construe the scope of the claims herein. Further, the abstract is written in a highly abbreviated form and is provided herein for convenience and thus should not be employed to construe or limit the overall invention, which is expressed in the claims. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. If the term “set” is employed herein, such term is intended to have its commonly understood mathematical meaning to cover zero, one, or more than one member. It may be therefore intended that the following appended claims be interpreted as including all such alternations, permutations, and equivalents as fall within the true spirit and scope of the present invention.

Fischer, Andreas, Hudson, Eric

Patent Priority Assignee Title
9455125, Mar 24 2010 Tokyo Electron Limited Substrate processing apparatus
9963782, Feb 12 2015 ASM IP Holding B.V. Semiconductor manufacturing apparatus
Patent Priority Assignee Title
4632719, Sep 18 1985 Novellus Systems, Inc Semiconductor etching apparatus with magnetic array and vertical shield
4954201, Oct 15 1988 Leybold Aktiengesellschaft Apparatus for etching substrates with a luminous discharge
5006192, Jun 28 1988 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
5084125, Sep 12 1989 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Apparatus and method for producing semiconductor substrate
5292370, Aug 14 1992 Energy, United States Department of Coupled microwave ECR and radio-frequency plasma source for plasma processing
5534751, Jul 10 1995 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
5647912, Mar 31 1995 NEC Electronics Corporation Plasma processing apparatus
5660673, Aug 31 1993 NEC Corporation Apparatus for dry etching
5879523, Sep 29 1997 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
5891350, Dec 15 1994 Applied Materials, Inc Adjusting DC bias voltage in plasma chambers
5897752, May 20 1997 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
5900103, Apr 20 1994 Tokyo Electron Limited Plasma treatment method and apparatus
5919332, Jun 07 1995 Tokyo Electron Limited Plasma processing apparatus
5998932, Jun 26 1998 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
6008130, Aug 14 1997 VLSI Technology, Inc. Polymer adhesive plasma confinement ring
6019060, Jun 24 1998 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
6022461, Nov 13 1995 Anelva Corporation Sputtering apparatus
6178919, Dec 28 1998 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
6221221, Nov 16 1998 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
6245192, Jun 30 1999 Lam Research Corporation Gas distribution apparatus for semiconductor processing
6296747, Jun 22 2000 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
6350317, Dec 30 1999 Lam Research Corporation Linear drive system for use in a plasma processing system
6362110, Mar 30 2000 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
6383931, Feb 11 2000 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
6407367, Dec 26 1997 Canon Kabushiki Kaisha Heat treatment apparatus, heat treatment process employing the same, and process for producing semiconductor article
6433484, Aug 11 2000 Lam Research Corporation Wafer area pressure control
6444085, Jun 27 1991 Applied Materials Inc. Inductively coupled RF plasma reactor having an antenna adjacent a window electrode
6492774, Oct 04 2000 Lam Research Corporation Wafer area pressure control for plasma confinement
6506685, Dec 28 1998 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
6527911, Jun 29 2001 Lam Research Corporation Configurable plasma volume etch chamber
6602381, Apr 30 2001 Lam Research Corporation Plasma confinement by use of preferred RF return path
6627050, Jul 28 2000 Applied Materials, Inc.; Applied Materials, Inc Method and apparatus for depositing a tantalum-containing layer on a substrate
6645357, Nov 05 2001 Applied Materials, Inc.; Applied Materials, Inc Mesh shield in a sputter reactor
6652713, Aug 09 2001 Applied Materials, Inc.; Applied Materials, Inc Pedestal with integral shield
6653734, Feb 11 2000 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
6669811, Dec 30 1999 Lam Research Corporation Linear drive system for use in a plasma processing system
6706138, Aug 16 2001 Applied Materials Inc.; Applied Materials, Inc Adjustable dual frequency voltage dividing plasma reactor
6716762, Apr 30 2001 Lam Research Corporation Plasma confinement by use of preferred RF return path
6726805, Aug 09 2001 Applied Materials, Inc Pedestal with integral shield
6730174, Mar 06 2002 Applied Materials, Inc. Unitary removable shield assembly
6744212, Feb 14 2002 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
6770166, Jun 29 2001 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
6823815, Oct 04 2000 Lam Research Corporation Wafer area pressure control for plasma confinement
6837968, Aug 09 2001 Applied Materials, Inc. Lower pedestal shield
6841943, Jun 27 2002 Lam Research Corporation Plasma processor with electrode simultaneously responsive to plural frequencies
6863784, Dec 30 1999 Lam Research Corporation Linear drive system for use in a plasma processing system
6872281, Sep 28 2000 Lam Research Corporation Chamber configuration for confining a plasma
6887340, Nov 13 2001 Lam Research Corporation Etch rate uniformity
6887341, Nov 13 2001 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
6910441, Jul 31 2002 Samsung Electronics Co., Ltd. Pressure regulating system of plasma processing equipment
6974523, May 16 2001 Lam Research Corporation Hollow anode plasma reactor and method
6984288, Aug 08 2001 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
6991701, Apr 20 1994 Tokyo Electron Limited Plasma treatment method and apparatus
7001491, Jun 26 2003 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
7009281, Mar 14 2003 Lam Corporation Small volume process chamber with hot inner surfaces
7094315, Sep 28 2000 Lam Research Corporation Chamber configuration for confining a plasma
7169256, May 28 2004 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
7244311, Oct 13 2004 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
7252737, Aug 09 2001 Applied Materials, Inc. Pedestal with integral shield
7318879, Nov 12 2004 Samsung Electronics Co., Ltd. Apparatus to manufacture semiconductor
7390366, Nov 05 2001 Jusung Engineering Co., Ltd. Apparatus for chemical vapor deposition
7405521, Aug 22 2003 Lam Research Corporation Multiple frequency plasma processor method and apparatus
7429306, Jun 02 2004 SAMSUNG ELECTRONICS CO , LTD Plasma processing system
7430986, Mar 18 2005 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
7438018, Jun 17 2005 Samsung Electronics Co., Ltd. Confinement ring assembly of plasma processing apparatus
7455748, Jun 20 2003 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
7470627, Aug 21 2002 Lam Research Corporation Wafer area pressure control for plasma confinement
7482550, Oct 16 2006 Lam Research Corporation Quartz guard ring
7569125, Nov 14 2001 Applied Materials, Inc. Shields usable with an inductively coupled plasma reactor
7578258, Mar 03 2006 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
7632375, Dec 30 2004 Lam Research Corporation Electrically enhancing the confinement of plasma
7632377, Jan 24 2006 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
7686928, Sep 23 2004 Applied Materials, Inc. Pressure switched dual magnetron
7713379, Jun 20 2005 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
7740736, Jun 08 2006 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
7749326, May 22 2008 SAMSUNG ELECTRONICS CO , LTD Chemical vapor deposition apparatus
7804040, Feb 03 2005 Applied Materials, Inc. Physical vapor deposition plasma reactor with arcing suppression
7837825, Jun 13 2005 Lam Research Corporation Confined plasma with adjustable electrode area ratio
7838086, Jun 20 2003 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
7875824, Oct 16 2006 Lam Research Corporation Quartz guard ring centering features
7879184, Jun 20 2006 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
7939778, Oct 16 2006 Lam Research Corporation Plasma processing chamber with guard ring for upper electrode assembly
7976673, May 06 2003 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
8012306, Feb 15 2006 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
8026159, Aug 30 2007 KOKUSAI ELECTRIC CORPORATION Method of manufacturing semiconductor device and substrate processing apparatus
8043430, Dec 20 2006 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
8069817, Mar 30 2007 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
8080168, Jan 27 2005 Lam Research Corporation Confinement ring drive
8084705, Oct 16 2006 Lam Research Corporation Quartz guard ring centering features
8161906, Jul 07 2008 Lam Research Corporation Clamped showerhead electrode assembly
8221582, Jul 07 2008 Lam Research Corporation Clamped monolithic showerhead electrode
8252116, Aug 07 2003 Sundew Technologies, LLC Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
8262798, Aug 06 2003 ULVAC, INC Shower head, device and method for manufacturing thin films
8262922, Mar 18 2005 Lam Research Corporation Plasma confinement rings having reduced polymer deposition characteristics
8272346, Apr 10 2009 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
8277561, Apr 18 2008 SAMSUNG ELECTRONICS CO , LTD Chemical vapor deposition apparatus
8290717, Feb 08 2008 Lam Research Corporation Methods and apparatus for wafer area pressure control in an adjustable gap plasma chamber
8298338, Dec 26 2007 SAMSUNG ELECTRONICS CO , LTD Chemical vapor deposition apparatus
8313805, Jul 07 2008 Lam Research Corporation Clamped showerhead electrode assembly
8337623, Feb 15 2006 Lam Research Corporation Methods for plasma cleaning an internal peripheral region of a plasma processing chamber
8337662, Jun 20 2005 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
8465620, May 16 2001 Lam Research Hollow anode plasma reactor and method
8522716, Feb 08 2008 Lam Research Corporation Protective coating for a plasma processing chamber part and a method of use
8540844, Dec 19 2008 Lam Research Corporation Plasma confinement structures in plasma processing systems
20010042511,
20030029564,
20030029567,
20030084849,
20030151371,
20040000875,
20040035532,
20040083977,
20040134427,
20040262155,
20050039682,
20050056370,
20050070105,
20050103442,
20050173239,
20060051967,
20060102283,
20060278340,
20070068900,
20070204797,
20080187682,
20080241420,
20080268172,
20080271849,
20090061648,
20090165713,
20090173389,
20090200269,
20090260569,
20090272718,
20090280040,
20090288604,
20100154709,
20100154996,
20110042009,
20120279659,
JP5036808,
KR100368200,
KR1020060039637,
RE43508, Apr 30 2001 Lam Research Corporation Plasma confinement by use of preferred RF return path
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Sep 09 2013Lam Research Corporation(assignment on the face of the patent)
Sep 19 2013HUDSON, ERICLam Research CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0314180522 pdf
Oct 08 2013FISCHER, ANDREASLam Research CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0314180522 pdf
Date Maintenance Fee Events
Sep 25 2017M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Nov 15 2021REM: Maintenance Fee Reminder Mailed.
May 02 2022EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Mar 25 20174 years fee payment window open
Sep 25 20176 months grace period start (w surcharge)
Mar 25 2018patent expiry (for year 4)
Mar 25 20202 years to revive unintentionally abandoned end. (for year 4)
Mar 25 20218 years fee payment window open
Sep 25 20216 months grace period start (w surcharge)
Mar 25 2022patent expiry (for year 8)
Mar 25 20242 years to revive unintentionally abandoned end. (for year 8)
Mar 25 202512 years fee payment window open
Sep 25 20256 months grace period start (w surcharge)
Mar 25 2026patent expiry (for year 12)
Mar 25 20282 years to revive unintentionally abandoned end. (for year 12)