A film deposition method, in which a film of a reaction product of a first reaction gas, which tends to be adsorbed onto hydroxyl radicals, and a second reaction gas capable of reacting with the first reaction gas is formed on a substrate provided with a concave portion, includes a step of controlling an adsorption distribution of the hydroxyl radicals in a depth direction in the concave portion of the substrate; a step of supplying the first reaction gas on the substrate onto which the hydroxyl radicals are adsorbed; and a step of supplying the second reaction gas on the substrate onto which the first reaction gas is adsorbed.

Patent
   9023738
Priority
Dec 27 2011
Filed
Aug 13 2014
Issued
May 05 2015
Expiry
Dec 26 2032

TERM.DISCL.
Assg.orig
Entity
Large
374
9
currently ok
1. A film deposition method using a film deposition apparatus including
a chamber into which a first reaction gas, which tends to be adsorbed onto hydroxyl radicals, and a second reaction gas capable of reacting with the first reaction gas are supplied, and
a turntable provided in the chamber, a substrate provided with a concave portion being mounted on the turntable and a film of a reaction product of the first reaction gas and the second reaction gas being formed on the substrate, the method comprising:
a step of controlling an adsorption distribution of the hydroxyl radicals in a depth direction in the concave portion of the substrate;
a step of supplying the first reaction gas on the substrate onto which the hydroxyl radicals are adsorbed; and
a step of supplying the second reaction gas on the substrate onto which the first reaction gas is adsorbed.
2. The film deposition method according to claim 1,
wherein the step of controlling the adsorption distribution includes a step of exposing the substrate onto which the hydroxyl radicals are adsorbed to oxygen plasma.
3. The film deposition method according to claim 2,
wherein in the step of exposing the substrate to the oxygen plasma, the oxygen plasma includes a hydrogen containing gas.
4. The film deposition method according to claim 3,
wherein in the step of exposing the substrate to the oxygen plasma, the adsorption distribution of the hydroxyl radicals in the depth direction in the concave portion of the substrate is controlled by controlling the flow rate of the hydrogen containing gas.
5. The film deposition method according to claim 2,
wherein the second reaction gas is capable of depositing the film as well as generating the hydroxyl radicals on the film by reacting with the first reaction gas,
wherein in the step of exposing the substrate to the oxygen plasma, the substrate onto which the hydroxyl radicals generated by a reaction between the first reaction gas and the second reaction gas are adsorbed, is exposed to the oxygen plasma.
6. The film deposition method according to claim 2,
wherein in the step of exposing the substrate to the oxygen plasma, a predetermined high frequency power is supplied as well as supplying an oxygen containing gas.
7. The film deposition method according to claim 6,
wherein in the step of exposing the substrate to the oxygen plasma, the adsorption distribution of the hydroxyl radicals in the depth direction in the concave portion of the substrate is controlled by controlling the predetermined high frequency power.
8. The film deposition method according to claim 6,
wherein the substrate is configured to pass through a first gas supplying area in which the first reaction gas is supplied, a second gas supplying area in which the second reaction gas is supplied, and a third gas supplying area in which the oxygen containing gas is supplied as well as the predetermined high frequency power is supplied, in this order by rotating the turntable, and
wherein the adsorption distribution of the hydroxyl radicals in the depth direction in the concave portion of the substrate is controlled by controlling a rotational speed of the turntable.
9. The film deposition method according to claim 2,
wherein in the step of exposing the substrate to the oxygen plasma, the adsorption distribution of the hydroxyl radicals in the depth direction in the concave portion of the substrate is controlled by controlling a period in which the substrate is exposed to the oxygen plasma.
10. The film deposition method according to claim 1,
wherein the step of supplying the first reaction gas includes a step of controlling a period in which the first reaction gas is supplied to the substrate.
11. The film deposition method according to claim 1,
wherein in the step of supplying the first reaction gas, the flow rate of the first reaction gas is controlled.
12. The film deposition method according to claim 1, further comprising:
a first step in which the step of supplying the first reaction gas and the step of supplying the second reaction gas are performed while the adsorption distribution of the hydroxyl radicals is controlled such that the amount of the hydroxyl radicals is small at a shallow portion while the amount of the hydroxyl radicals is large at a deeper portion in the depth direction of the concave portion of the substrate in the step of controlling an adsorption distribution of the hydroxyl radicals.
13. The film deposition method according to claim 12, further comprising:
a second step in which the step of supplying the first reaction gas and the step of supplying the second reaction gas are performed while the adsorption distribution of the hydroxyl radicals is controlled such that the amount of the hydroxyl radicals is small even at the deeper portion in the depth direction of the concave portion of the substrate in the step of controlling an adsorption distribution of the hydroxyl radicals.
14. The film deposition method according to claim 1,
wherein the first reaction gas is organo-amino compound gas.
15. The film deposition method according to claim 1,
wherein the first reaction gas is organo-aminosilane.
16. The film deposition method according to claim 1,
wherein the second reaction gas is an oxygen containing gas.
17. The film deposition method according to claim 1,
wherein the second reaction gas is ozone.
18. The film deposition method according to claim 3,
wherein the hydrogen containing gas is one of or both of the ammonia and hydrogen.
19. The film deposition method according to claim 1, further comprising:
a step of supplying a plasma generation gas into a plasma space inside the chamber; and
a step of generating plasma from the plasma generation gas at the plasma space by inductive coupling using an antenna that faces a surface of the turntable via a faraday shield, the faraday shield being grounded and provided between the antenna and the plasma space, the faraday shield including a plurality of slits aligned in a direction perpendicularly intersecting the antenna; and
wherein in the step of controlling the adsorption distribution, the substrate is exposed to the plasma generated by the antenna in the plasma space.

This application is a continuation application of U.S. patent application Ser. No. 13/726,731 filed on Dec. 26, 2012, which claims the benefit of priority of Japanese Priority Application No. 2011-285850 filed on Dec. 27, 2011, where the entire contents of both of these applications are incorporated herein by reference.

1. Field of the Invention

The present invention relates to a film deposition method.

2. Description of the Related Art

A manufacturing process of an integrated circuit (IC) includes a process of filling a concave portion such as a trench, a via hole, a space of a line and space pattern or the like, with silicon oxide. For example, when depositing the silicon oxide in the trench by chemical vapor deposition (CVD), a phenomenon in which the thickness of the silicon oxide becomes greater near the aperture of the trench (so-called an “overhang” is formed) occurs because reaction gasses (precursor) react in a gas phase before reaching the bottom portion of the trench, or are adsorbed onto the side surface of the trench. Further, when the aperture of the trench is blocked by the silicon oxide, there may be a case in which a void is formed in the trench (see Patent Document 1, for example).

Here a film deposition method so-called “atomic layer deposition” (ALD) (or a “molecular layer deposition”: MLD) is known in which two kinds of reaction gasses, which react with each other, are alternately supplied onto the substrate to form a reaction product of the reaction gasses on the substrate. In this film deposition method, as the reaction product is formed by reacting one of the reaction gasses adsorbed onto a lower layer with the other of the reaction gasses, a film which more nearly reflects the shape of the lower layer can be formed compared with the CVD method. It means that the generation of the overhang or the void can be reduced by the ALD method.

However, in accordance with a requirement of high integration of the IC, an aspect ratio of the concave portion such as the trench or the like increases. Thus, when using the ALD method, it is required that the thickness distribution of the thin film formed in the concave portion such as the trench or the like is controlled as well as forming the thin film in the trench or the like without the void.

The present invention is made in light of the above problems, and provides a film deposition method capable of controlling a distribution of film thickness when depositing a film in a concave portion provided on a substrate.

According to an embodiment, there is provided a film deposition method, in which a film of a reaction product of a first reaction gas, which tends to be adsorbed onto hydroxyl radicals, and a second reaction gas capable of reacting with the first reaction gas is formed on a substrate provided with a concave portion, the method including a step of controlling an adsorption distribution of the hydroxyl radicals in a depth direction in the concave portion of the substrate; a step of supplying the first reaction gas on the substrate onto which the hydroxyl radicals are adsorbed; and a step of supplying the second reaction gas on the substrate onto which the first reaction gas is adsorbed.

Note that also arbitrary combinations of the above-described constituents, and any exchanges of expressions in the present invention, made among methods, devices, systems and so forth, are valid as embodiments of the present invention.

Other objects, features and advantages of the present invention will become more apparent from the following detailed description when read in conjunction with the accompanying drawings.

FIG. 1 a cross-sectional view of an example of a film deposition apparatus of an embodiment;

FIG. 2 is a perspective view showing an inside structure of a vacuum chamber of the film deposition apparatus shown in FIG. 1;

FIG. 3 is a schematic top view showing an example of the vacuum chamber of the film deposition apparatus shown in FIG. 1;

FIG. 4 is a partial cross-sectional view of an example of the film deposition apparatus shown in FIG. 1;

FIG. 5 is a partial cross-sectional view of an example of the film deposition apparatus shown in FIG. 1

FIG. 6 is a schematic cross-sectional view of an example of a plasma generating device provided in the film deposition apparatus shown in FIG. 1;

FIG. 7 is another schematic cross-sectional view of the plasma generating device shown in FIG. 6;

FIG. 8 is a schematic top view of the plasma generating device shown in FIG. 6;

FIG. 9 is a schematic cross-sectional view for explaining an example of a film deposition method of the embodiment;

FIG. 10 is a schematic cross-sectional view for explaining the example of the film deposition method of the embodiment;

FIG. 11 is a schematic cross-sectional view for explaining another example of the film deposition method of the embodiment;

FIG. 12 is a schematic view for explaining another example of a film deposition method of the embodiment;

FIG. 13 is a schematic view for explaining another example of the film deposition method of the embodiment;

FIG. 14 is a schematic view for explaining another example of the film deposition method of the embodiment;

FIG. 15 is a schematic cross-sectional view showing a hole formed in a wafer for an example;

FIG. 16 is view showing a result of an example;

FIG. 17 is a view showing a result of an example; and

FIG. 18 is a view showing a result of an example.

The invention will be described herein with reference to illustrative embodiments. Those skilled in the art will recognize that many alternative embodiments can be accomplished using the teachings of the present invention and that the invention is not limited to the embodiments illustrated for explanatory purposes.

It is to be noted that, in the explanation of the drawings, the same components are given the same reference numerals, and explanations are not repeated. Further, drawings are not intended to show relative ratios of a component or components.

(Film Deposition Apparatus)

First, a film deposition apparatus for performing a film deposition method of the embodiment is explained.

FIG. 1 is a cross-sectional view of an example of a film deposition apparatus 1 of the embodiment.

The film deposition apparatus 1 includes a vacuum chamber 10, a turntable 2, a heater unit 7, a case body 20, a core unit 21, a rotary shaft 22, and a driving unit 23. The vacuum chamber 10 has a substantially flat circular shape. The vacuum chamber 10 includes a chamber body 12 having a cylindrical shape with a bottom surface, and a ceiling plate 11 placed on the upper surface of the chamber body 12. The ceiling plate 11 is detachably placed on the chamber body 12 via a sealing member 13 (FIG. 1) such as an O-ring in an airtight manner.

The turntable 2 is provided in the vacuum chamber 10 and has a center of rotation at the center of the vacuum chamber 10. The turntable 2 is attached to the cylindrical shaped core unit 21 at its center portion. The core unit 21 is fixed to the upper end of the rotary shaft 22 which extends in the vertical direction. The rotary shaft 22 is provided to penetrate the bottom portion 14 of the vacuum chamber 10 and its lower end is attached to the driving unit 23 that rotates the rotary shaft 22 (FIG. 1) around a vertical direction. The rotary shaft 22 and the driving unit 23 are housed in the tubular case body 20 whose upper surface is open. The case body 20 is attached to a lower surface of the bottom portion 14 of the vacuum chamber 10 via a flange portion provided at its upper surface in an airtight manner so that inner atmosphere of the case body 20 is isolated from outside atmosphere.

FIG. 2 and FIG. 3 are views showing an inside structure of the vacuum chamber 10. The ceiling plate 11 is not shown in FIG. 2 and FIG. 3 for an explanatory purpose.

As shown in FIG. 2 and FIG. 3, plural (five in this case) circular concave portions 24 are provided at a front surface of the turntable 2 along a rotating direction (circumferential direction) shown by an arrow A for holding plural semiconductor wafers (which will be simply referred to as “wafers” hereinafter) W, respectively. Here is an example where the wafer W is shown to be placed in one of the concave portions 24 in FIG. 3 for an explanatory purpose.

Each of the concave portions 24 is formed to have a slightly larger (for example, 4 mm larger) diameter than that (for example, 300 mm) of the wafer W, and a depth substantially equal to the thickness of the wafer W. Thus, when the wafer W is mounted in the respective concave portion 24, the surface of the wafer W and the surface of the turntable 2 (where the wafer W is not mounted) become almost the same height.

As will be explained later, each of the concave portions 24 are provided with three, for example, through holes, through which lift pins for supporting a back surface of the respective wafer W and lifting the wafer W penetrate.

A reaction gas nozzle 31, a reaction gas nozzle 32, separation gas nozzles 41 and 42, and a gas introduction nozzle 92, which are made of quartz, for example, are provided above the turntable 2. For the example shown in FIG. 3, the gas introduction nozzle 92, the separation gas nozzle 41, the reaction gas nozzle 31, the separation gas nozzle 42, and the reaction gas nozzle 32 are aligned in this order from a transfer port 15 (which will be explained later) in a clockwise direction (the rotation direction of the turntable 2 as shown by an arrow A in FIG. 3) with a space therebetween in a circumferential direction of the vacuum chamber 10. Gas introduction ports 92a, 31a, 32a, 41a, and 42a (FIG. 3) which are base portions of the nozzles 92, 31, 32, 41, and 42, respectively, are fixed to an outer peripheral wall of the fixing chamber body 12 so that these nozzles 92, 31, 32, 41, and 42 are introduced into the vacuum chamber 10 from the outer peripheral wall of the vacuum chamber 10 to extend in a radial direction and parallel to the surface of the turntable 2.

As simply shown by a dotted line for an explanatory purpose in FIG. 3, a plasma generating device 80 is provided above the gas introduction nozzle 92. The plasma generating device 80 is explained later.

In this embodiment, the reaction gas nozzle 31 is connected to a supplying source (not shown in the drawings) of a Si (silicon) containing gas as a first reaction gas via a pipe, a flow controller and the like (not shown in the drawings). The reaction gas nozzle 32 is connected to a supplying source (not shown in the drawings) of an oxidation gas as a second reaction gas via a pipe, a flow controller and the like (not shown in the drawings). The separation gas nozzles 41 and 42 are connected to supplying sources (not shown in the drawings) of nitrogen (N2) gas as a separation gas via pipes and flow controller valves and the like, respectively.

In this embodiment, organo-aminosilane gas is used as the Si containing gas, and O3 (ozone) gas is used as the oxidation gas.

The reaction gas nozzles 31 and 32 are provided with plural gas discharge holes 33 (see FIG. 4) which are facing downward to the turntable 2 along the longitudinal directions of the reaction gas nozzles 31 and 32 with a 10 mm interval, respectively, for example. An area below the reaction gas nozzle 31 is a first process area P1 in which the Si containing gas is adsorbed onto the wafers W. An area below the reaction gas nozzle 32 is a second process area P2 in which the Si containing gas which is adsorbed onto the wafer W at the first process area P1 is oxidized.

Referring to FIG. 2 and FIG. 3, the ceiling plate 11 is provided with two protruding portions 4 protruding in the vacuum chamber 10. Each of the protruding portions 4 has substantially a sector top view shape where the apex is removed in an arc shape. For each of the protruding portions 4, the inner arc shaped portion is connected to an inner protruding portion 5 (which will be explained later with reference to FIG. 1 to FIG. 3) and the outer arc shaped portion is formed to extend along an inner peripheral surface of the chamber body 12 of the vacuum chamber 10. As will be explained later, the protruding portions are attached at a lower surface of the ceiling plate 11 to protrude toward the turntable 2 to form separation areas D with the corresponding separation gas nozzles 41 and 42.

FIG. 4 shows a cross-section of the vacuum chamber 10 along a concentric circle of the turntable 2 from the reaction gas nozzle 31 to the reaction gas nozzle 32. As shown in FIG. 4, the protruding portion 4 is fixed to the lower surface of the ceiling plate 11. Thus, there are provided a flat low ceiling surface 44 (first ceiling surface) formed as the lower surface of the protruding portion 4 and flat higher ceiling surfaces 45 (second ceiling surface) which are higher than the low ceiling surface 44 and formed at outboard sides of the low ceiling surface 44 in the circumferential direction. The low ceiling surface 44 has substantially a sector top view shape where the apex is removed in an arc shape.

Further, as shown in the drawings, the protruding portion 4 is provided with a groove portion 43 at a center in the circumferential direction. The groove portion 43 is formed to extend in the radius direction of the turntable 2. The separation gas nozzle 42 is positioned within the groove portion 43. Although not shown in FIG. 4, the separation gas nozzle 41 is also positioned within a groove portion provided in the other protruding portion 4. The reaction gas nozzles 31 and 32 are provided in spaces below the high ceiling surfaces 45, respectively. The reaction gas nozzles 31 and 32 are provided in the vicinity of the wafers W apart from the high ceiling surfaces 45, respectively. Here, for an explanatory purpose, a space below the high ceiling surface 45 where the reaction gas nozzle 31 is provided is referred to as “481” and a space below the high ceiling surface 45 where the reaction gas nozzle 32 is provided is referred to as “482” as shown in FIG. 4.

The separation gas nozzle 42 is provided with plural gas discharge holes 42h formed along the longitudinal direction of the separation gas nozzle 42 with a predetermined interval (10 mm, for example).

The low ceiling surface 44 provides a separation space H, which is a small space, with respect to the turntable 2. When the N2 gas is provided from the separation gas nozzle 42, the N2 gas flows toward the space 481 and the space 482 through the separation space H. At this time, as the volume of the separation space H is smaller than those of the spaces 481 and 482, the pressure in the separation space H can be made higher than those in the spaces 481 and 482 by the N2 gas. It means that between the spaces 481 and 482, the separation space H provides a pressure barrier.

Further, the N2 gas flowing from the separation space H toward the spaces 481 and 482 functions as a counter flow against the Si containing gas from the gas first process area P1 and the oxidation gas from the second process area P2. Thus, the Si containing gas from the first process area P1 and the oxidation gas from the second process area P2 are separated by the separation space H. Therefore, mixing and reacting of the Si containing gas with the oxidation gas are prevented in the vacuum chamber 10.

The height h1 of the low ceiling surface 44 above an upper surface of the turntable 2 may be appropriately determined based on the pressure of the vacuum chamber 10 at a film deposition time, the rotational speed of the turntable 2, and a supplying amount of the separation gas (N2 gas) in order to maintain the pressure in the separation space H higher than those in the spaces 481 and 482.

Referring to FIG. 1 to FIG. 3, the ceiling plate 11 is further provided with the inner protruding portion 5 at its lower surface to surround the outer periphery of the core unit 21 which fixes the turntable 2. The inner protruding portion 5 is continuously formed with the inner portions of the protruding portions 4 and has a lower surface which is formed at the same height as those of the low ceiling surfaces 44, in this embodiment.

FIG. 1 is a cross-sectional view taken along an I-I′ line in FIG. 3, and showing an area where the ceiling surface 45 is provided. FIG. 5 is a partial cross-sectional view showing an area where the ceiling surface 44 is provided.

As shown in FIG. 5, the protruding portion 4 having a substantially sector top view shape is provided with an outer bending portion 46 at its outer peripheral end portion (at an outer peripheral end portion side of the vacuum chamber 10) which is bent to have an L-shape to face an outer end surface of the turntable 2. The outer bending portion 46 suppresses a flow of gas between the space 481 and the space 482 through the space between the turntable 2 and the inner peripheral surface of the chamber body 12. As described above, the protruding portions 4 are provided on the ceiling plate 11 which is detachably attached to the chamber body 12. Thus, there is a slight space between the outer periphery surface of the outer bending portion 46 and the chamber body 12. The space between the inner periphery surface of the outer bending portion 46 and an outer surface of the turntable 2, and the space between the outer periphery surface of the outer bending portion 46 and the chamber body 12 may be a size same as the height h1 (see FIG. 4) of the low ceiling surface 44 with respect to the upper surface of the turntable 2, for example.

As shown in FIG. 5, the inside perimeter wall of the chamber body 12 is provided to extend in a vertical direction to be closer to the outer peripheral surface of the outer bending portion 46 at the separation area H. However, other than the separation area H, as shown in FIG. 1, for example, the inside perimeter wall of the chamber body 12 is formed to have a concave portion outside of a portion facing the outer end surface of the turntable 2 toward the bottom portion 14. Hereinafter, for an explanatory purpose, the concave portion, having a substantially rectangular cross-sectional view, is referred to as an “evacuation area”. Specifically, a part of the evacuation area which is in communication with the first process area P1 is referred to as a first evacuation area E1, and a part of the evacuation area which is in communication with the second process area P2 is referred to as a second evacuation area E2. As shown in FIG. 1 to FIG. 3, a first evacuation port 610 and a second evacuation port 620 are respectively provided at the bottom portions of the first evacuation area E1 and the second evacuation area E2. The first evacuation port 610 and the second evacuation port 620 are connected to vacuum pumps 640, which are vacuum evacuation units, via evacuation pipes 630, respectively, as shown in FIG. 1. The reference numeral 650 is a pressure regulator in FIG. 1.

The heater unit 7 is provided at a space between the turntable 2 and the bottom portion 14 of the vacuum chamber 10 as shown in FIG. 1 and FIG. 5. The wafers W mounted on the turntable 2 are heated by the heater unit 7 via the turntable 2 to a temperature (450° C., for example) determined by a process recipe. A ring cover member 71 is provided at a lower portion side of the outer periphery of the turntable 2 in order to prevent gasses from being introduced into the space below the turntable 2.

As shown in FIG. 5, the cover member 71 includes an inner member 71a which is provided to face the outer edge portion and the further outer portion of the turntable 2 from a lower side, and an outer member 71b which is provided between the inner member 71a and an inner wall surface of the chamber body 12. The outer member 71b is provided to face the outer bending portion 46, which is formed at an outer edge portion at lower side of each of the protruding portions 4. The inner member 71a is provided to surround the entirety of the heater unit 7 below the outer end portion (and at a slightly outer side of the outer end portion) of the turntable 2.

As shown in FIG. 1, the bottom portion 14 of the vacuum chamber 10 closer to the rotation center than the space where the heater unit 7 is positioned protrudes upward to be close to the core unit 21 to form a protruded portion 12a. There is provided a small space between the protruded portion 12a and the core unit 21. Further, there is provided a small space between an inner peripheral surface of the bottom portion 14 and the rotary shaft 22 to be in communication with the case body 20. A purge gas supplying pipe 72 which supplies N2 gas as the purge gas to the small space for purging is provided in the case body 20. The bottom portion 14 of the vacuum chamber 10 is provided with plural purge gas supplying pipes 73 (only one of the purge gas supplying pipes 73 is shown in FIG. 5) which are provided with a predetermined angle interval in the circumferential direction below the heater unit 7 for purging the space where the heater unit 7 is provided. Further, a cover member 7a is provided between the heater unit 7 and the turntable 2 to prevent the gas from being introduced into the space where the heater unit 7 is provided. The cover member 7a is provided to extend from an inner peripheral wall (upper surface of the inner member 71a) of the outer member 71b to an upper end portion of the protruded portion 12a in the circumferential direction. The cover member 7a may be made of quartz, for example.

The film deposition apparatus 1 further includes a separation gas supplying pipe 51 which is connected to a center portion of the ceiling plate 11 of the vacuum chamber 10 and provided to supply N2 gas as the separation gas to the space 52 between the ceiling plate 11 and the core unit 21. The separation gas supplied to the space 52 flows through a small space between the inner protruding portion 5 and the turntable 2 to flow along a front surface of the turntable 2 where the wafers W are to be mounted to be discharged from an outer periphery. The space 50 is kept at a pressure higher those of the space 481 and the space 482 by the separation gas. Thus, the mixing of the Si containing gas supplied to the first process area P1 and the oxidation gas supplied to the second process area P2 by flowing through the center area C can be prevented by the space 50. It means that the space 50 (or the center area C) can function similarly as the separation space H (or the separation area D).

Further, as shown in FIG. 2 and FIG. 3, a transfer port 15 is provided at a side wall of the vacuum chamber 10 for allowing the wafers W, which are substrates, to pass between an external transfer arm 9 and the turntable 2. The transfer port 15 is opened and closed by a gate valve (not shown in the drawings). Further, lift pins, which penetrate the concave portion 24 to lift up the respective wafer W from a backside surface, and a lifting mechanism for the lift pins (both are not shown in the drawings) are provided at a respective portion below the turntable 2. Thus, the respective wafer W is passed between the external transfer arm 9 and the concave portion 24 of the turntable 2, which is a mounting portion, at a place facing the transfer port 15.

Next, the plasma generating device 80 is explained with reference to FIG. 6 to FIG. 8. FIG. 6 is a schematic cross-sectional view of the plasma generating device 80 taken along the radius direction of the turntable 2. FIG. 7 is a schematic cross-sectional view of the plasma generating device 80 taken along a direction perpendicular to the radius direction of the turntable 2. FIG. 8 is a schematic top view showing the plasma generating device 80. For an explanatory purpose, parts of the components are not shown in the drawings.

Referring to FIG. 6, the plasma generating device 80 is made of a material which is permeable to high frequency waves, and is provided with a concave portion in its upper surface. The plasma generating device 80 further includes a frame member 81 which is embedded in an open portion 11a provided in the ceiling plate 11, a Faraday shield plate 82 housed in the concave portion of the frame member 81 and has substantially a box shape whose top is opened, an insulating plate 83 placed on a bottom surface of the Faraday shield plate 82, and a coil antenna 85 supported above the insulating plate 83. The antenna 85 has substantially an octagonal upper plane shape.

The open portion 11a of the ceiling plate 11 is formed to have plural step portions, and one of the step portions is provided with a groove portion to extend along the perimeter where a sealing member 81a such as an O-ring or the like is embedded. The frame member 81 is formed to have plural step portions which correspond to the step portions of the open portion 11a, and when the frame member 81 is engaged in the open portion 11a, a back side surface of one of the step portions contacts the sealing member 81a embedded in the open portion 11a so that the ceiling plate 11 and the frame member 81 are kept in an air-tight manner.

Further, as shown in FIG. 6, a pushing member 81c, which extends along the outer peripheral of the frame member 8 which is embedded in the open portion 11a of the ceiling plate 11, is provided so that the frame member 81 is pushed downward with respect to the ceiling plate 11. With this, the ceiling plate 11 and the frame member 81 are further kept in an air-tight manner.

The lower surface of the frame member 81 is positioned to face the turntable 2 in the vacuum chamber 10 and a projection portion 81b which projects downward (toward the turntable 2) is provided at the perimeter at the lower surface. The lower surface of the projection portion 81b is close to the surface of the turntable 2 and a space (hereinafter referred to as an inner space S) is provided by the projection portion 81b, the surface of the turntable 2 and the lower surface of the frame member 81 above the turntable 2. The space between the lower surface of the projection portion 81b and the surface of the turntable 2 may be the same as the height h1 between the ceiling surface 44 with respect to the upper surface of the turntable 2 in the separation space H (FIG. 4).

Further, a gas introduction nozzle 92 which penetrates the projection portion 81b is provided in the inner space S. In this embodiment, as shown in FIG. 6, an argon gas supplying source 93a filled with argon (Ar) gas, an oxygen gas supplying source 93b filled with oxygen (O2) gas and an ammonia gas supplying source 93c filled with ammonia (NH3) gas are connected to the gas introduction nozzle 92. The Ar gas, the O2 gas, and the NH3 gas which are flow controlled by flow controllers 94a, 94b, and 94c are supplied from the argon gas supplying source 93a, the oxygen gas supplying source 93b, and the ammonia gas supplying source 93c, respectively, with a predetermined flow rate ratio (mixed ratio) to the inner space S.

The gas introduction nozzle 92 is provided with plural gas discharge holes 92a formed along the longitudinal direction thereof with a predetermined interval (10 mm, for example) so that the Ar gas and the like is discharged from the gas discharge holes 92a.

As shown in FIG. 7, the gas discharge holes 92a are provided to be inclined from a vertical direction with respect to the turntable 2 toward the upstream rotation direction of the turntable 2. Thus, the gas supplied from the gas introduction nozzle 92 is discharged in a direction opposite to the rotation direction of the turntable 2, specifically, toward a space between a lower surface of the projection portion 81b and the surface of the turntable 2. With this, the flows of the reaction gas and the separation gas from a space below the ceiling surface 45 which is upstream of the plasma generating device 80 toward the inner space S along the rotation direction of the turntable 2 can be prevented. Further, as described above, as the projection portion 81b which is formed along an outer periphery of the lower surface of the frame member 81 is close to the surface of the turntable 2, the pressure in the inner space S can be kept high by the gas from the gas introduction nozzle 92. With this as well, the flows of the reaction gas and the separation gas toward the inner space S can be prevented.

The Faraday shield plate 82 is made of a conductive material such as a metal and is grounded, although not shown in the drawings. As clearly shown in FIG. 8, the Faraday shield plate 82 is provided with plural slits 82s at its bottom portion. Each of the slits 82s are extending to be in substantially perpendicular relationship with the corresponding lines of the antenna 85 which has the substantially octagonal plane shape.

As shown in FIG. 7 and FIG. 8, the Faraday shield plate 82 includes two support portions 82a which are provided at upper end portions to bend outward. The support portions 82a are supported by the upper surface of the frame member 81 so that the Faraday shield plate 82 is supported at a predetermined position in the frame member 81.

The insulating plate 83 is made of fused quartz, for example, has a size slightly smaller than that of the bottom surface of the Faraday shield plate 82, and is mounted on the bottom surface of the Faraday shield plate 82. The insulating plate 83 insulates the Faraday shield plate 82 and the antenna 85 while passing the high frequency wave radiated from the antenna 85.

The antenna 85 is formed by winding a pipe made of copper three times, for example, in a substantially octagonal plane shape. With this structure, cooling water can be circulated in the pipe and the antenna 85 is prevented from being heated to be a high temperature by the high frequency wave provided to the antenna 85. The antenna 85 is provided with a standing portion 85a to which a support portion 85b is attached. The antenna 85 is maintained at a predetermined position in the Faraday shield plate 82 by the support portion 85b. The high frequency power source 87 is connected to the support portion 85b via the matching box 86. The high frequency power source 87 is capable of generating high frequency waves of 13.56 MHz, for example.

According to the plasma generating device 80 thus structured, when the high frequency waves are supplied to the antenna 85 from the high frequency power source 87 via the matching box 86, the electromagnetic field is generated by the antenna 85. In the electromagnetic field, the electric field component is shielded by the Faraday shield plate 82 so is not transmitted downward. On the other hand, the magnetic field component is transmitted within the inner space S via the plural slits 82s of the Faraday shield plate 82. Plasma is generated by the gasses such as the Ar gas, the O2 gas, the NH3 gas and the like which are supplied to the inner space S with a predetermined flow rate ratio (mixed ratio) from the gas introduction nozzle 92 by the magnetic field component. By such plasma, damage to a thin film formed on a wafer W, or to the components in the vacuum chamber 10 can be reduced.

As shown in FIG. 1, the film deposition apparatus 1 of the embodiment further includes a control unit 100 which controls the entirety of the film deposition apparatus 1 and a storing unit 101. The control unit 100 may be a computer. The storing unit 101 stores a program by which the film deposition apparatus 1 executes the film deposition method (as will be explained later) under a control of the control unit 100. The program is formed to include steps capable of executing the film deposition method. The storing unit 101 may be a hard disk or the like, for example. The program stored in the storing unit 101 may be previously stored in a recording medium 102 such as a compact disk (CD), a magneto-optic disk, a memory card, a flexible disk, or the like and may be installed in the storing unit 101 using a predetermined reading device.

(Film Deposition Method)

Next, the film deposition method of the embodiment using the film deposition apparatus 1 is explained as an example.

In this embodiment, a silicon wafer is used as the wafer W and the silicon wafer is provided with a trench T (concave portion) as shown in (a) of FIG. 9.

Further, in the film deposition apparatus 1, it is assumed that the organo-aminosilane gas is supplied from the reaction gas nozzle 31, the O3 gas as the oxidation gas (oxygen containing gas) is supplied from the reaction gas nozzle 32, and a mixture gas (oxygen containing gas, hereinafter referred to as “Ar/O2 gas) of the Ar gas and the O2 gas is supplied from the gas introduction nozzle 92.

First, a gate valve (not shown in the drawings) is opened and the wafer W is passed to the concave portion 24 of the turntable 2 via the transfer port 15 (FIG. 2 and FIG. 3) by the transfer arm 9 (FIG. 3) from outside. This operation is performed by lifting the lift pins (not shown in the drawings) via through holes provided at a bottom surface of the concave portion 24 from the bottom portion side of the vacuum chamber 10 when the concave portion 24 stops at a position facing the transfer port 15. By repeating this operation while intermittently rotating the turntable 2, the wafers W are mounted within the concave portions 24, respectively.

Then, the gate valve is closed, and the vacuum chamber 10 is evacuated by the vacuum pump 640 to the minimum vacuum level. Then, the N2 gas as the separation gas is discharged from the separation gas nozzles 41 and 42 at a predetermined flow rate. At this time, the N2 gas is also discharged from the separation gas supplying pipe 51 and the purge gas supplying pipes 72 and 73 at a predetermined flow rate, respectively. With this, the vacuum chamber 10 is adjusted to a predetermined set pressure by the pressure regulator 650 (FIG. 1). Then, the wafers W are heated to 450° C., for example, by the heater unit 7 while rotating the turntable 2 in a clockwise direction at a rotational speed of 20 rpm, for example.

Subsequently, the organo-aminosilane gas is supplied from the reaction gas nozzle 31 (FIG. 2 and FIG. 3), and the O3 gas is supplied from the reaction gas nozzle 32. Further, the Ar/O2 gas is supplied from the gas introduction nozzle 92, and high frequency waves of 13.56 MHz with an output power of 1400 W, for example, are provided to the antenna 85 of the plasma generating device 80. With this, the oxygen plasma is generated in the inner space S between the plasma generating device (FIG. 6) and the turntable 2. The oxygen plasma includes active species such as oxygen ions, oxygen radicals or the like, or high energy particles.

By the rotation of the turntable 2, the wafer W repeatedly passes the first process area P1, the separation area H (D), the second process area P2, (the lower area of) the inner space S, and the separation area H (D) in this order (see FIG. 3).

In the first process area P1, as shown in (b) of FIG. 9, molecules Ms of the organo-aminosilane gas are adsorbed onto the surface U of the wafer W and the inner surface of the trench T to form a molecular layer 61 of the organo-aminosilane. After passing through the separation area H, in the second process area P2, as shown in (c) of FIG. 9, the organo-aminosilane gas adsorbed onto the surface U of the wafer W and the inner surface of the trench T is oxidized by the O3 gas molecules Mo so that a silicon oxide film 62 is formed along the inner surface of the trench T as shown in (d) of FIG. 9. When the organo-aminosilane gas is oxidized, OH radicals Hy are formed as a by-product. The generated OH radicals Hy are adsorbed onto the surface of the silicon oxide film 62.

Subsequently, when the wafer W reaches the inner space S of the plasma generating device 80, the wafer W is exposed to the oxygen plasma P1 as shown in (e) of FIG. 9. At this time, a part of the OH radicals Hy adsorbed onto the silicon oxide film 62 is eliminated from the silicon oxide film 62 by the collision of the high energy particles, for example, in the oxygen plasma P1. The oxygen plasma P1 reaches the surface U of the wafer W and near the aperture of the trench T, however, hardly reaches near the bottom portion of the trench T. Thus, a relatively large amount of the OH radicals Hy are eliminated from the surface U of the wafer W and the side surface of the trench T near the aperture. As a result, as shown in (e) of FIG. 9, the OH radicals Hy are distributed such that the density of the OH radicals Hy becomes high at the bottom portion and the side surface near the bottom portion of the trench T, and then becomes lower toward the aperture of the trench T and the surface U of the wafer W.

Subsequently, when the wafer W moves back to the first process area P1 by the rotation of the turntable 2, the molecules Ms of the organo-aminosilane gas supplied from the reaction gas nozzle 31 are adsorbed onto the surface U of the wafer W and the inner surface of the trench T. At this time, as the molecules Ms of the organo-aminosilane gas tend to easily be adsorbed onto the OH radicals Hy (Patent Document 2), as shown in (f) of FIG. 9, the distribution of the molecules Ms of the organo-aminosilane gas adsorbed onto the surface U of the wafer W and the inner surface of the trench T becomes corresponding to the distribution of the OH radicals Hy. It means that the molecules Ms of the organo-aminosilane gas are distributed in the inner surface of the trench T such that the density at the bottom portion and the side surface near the bottom portion of the trench T becomes high and the density becomes lower closer to the aperture of the trench T.

Subsequently, when the wafer W passes through the second process area P2, the organo-aminosilane gas adsorbed onto the surface U of the wafer W and the inner surface of the trench T is oxidized by the O3 gas and a silicon oxide film 62 is further formed as shown in (a) of FIG. 10. Here, the thickness distribution of the silicon oxide film 62 reflects the density of the organo-aminosilane gas adsorbed on the inner surface of the trench T. Thus, the silicon oxide film 62 becomes thicker at the bottom portion and the side surface near the bottom portion of the trench T and becomes thinner toward the aperture of the trench T. Then, the OH radicals generated by the oxidization of the organo-aminosilane gas are adsorbed onto the surface of the silicon oxide film 62.

Subsequently, when the wafer W moves back to the inner space S of the plasma generating device 80, as described above, the OH radicals are distributed such that the density of the OH radicals becomes high at the bottom portion and the side surface near the bottom portion of the trench T and becomes lower toward the aperture of the trench T.

Then, when the above process is repeated, the silicon oxide film 62 is formed such that it becomes thicker at the bottom portion of the trench T, as shown in (b) of FIG. 10. When the silicon oxide film 62 becomes further thicker, the trench T is filled with the silicon oxide film 62 without a void, as shown in (c) of FIG. 10, and then, the filling of the trench T is completed as shown in (d) of FIG. 10.

As described above, according to the film deposition method of the embodiment, the OH radicals generated by the oxidization of the organo-aminosilane gas and adsorbed onto the silicon oxide film 62 are distributed such that the density becomes high at the bottom portion and the side surface near the bottom portion of the trench T and becomes lower toward the aperture of the trench T due to the oxygen plasma generated by the plasma generating device 80. The OH radicals function as adsorbing sites of the organo-aminosilane gas and the organo-aminosilane gas is adsorbed in accordance with the distribution of the OH radicals. Thus, the organo-aminosilane gas is also distributed such that the density becomes high at the bottom portion and the side surface near the bottom portion of the trench T and becomes lower toward the aperture of the trench T. Therefore, the silicon oxide film 62 is formed to be thicker at the bottom portion and the side surface near the bottom portion of the trench T and becomes thinner toward the aperture of the trench T.

When filling a trench with silicon oxide or the like using a general-purpose CVD or ALD process, the precursor reacts within the gas phase or is adsorbed onto the side surface of the trench before being diffused to the bottom portion of the trench so that the thickness becomes greater in the trench near the aperture. Thus, the aperture is blocked by the deposited film while a void remains within the trench. Compared with this, the film deposition method of the embodiment has advantages.

In the above film deposition method, the oxygen plasma may be generated while supplying hydrogen containing gas from the gas introduction nozzle 92 in addition to the Ar/O2 gas.

After the wafer W passes through the first process area P1, the separation area H, and the second process area P2, as shown in (a) of FIG. 11, the silicon oxide film 62 is formed on the surface U of the wafer W and the inner surface of the trench T, and the OH radicals Hy are formed on the surface of the silicon oxide film 62.

Then, the wafer W reaches the inner space S and the wafer W is exposed to the oxygen plasma P1. At this time, as shown in (b) of FIG. 11, the oxygen plasma P1 includes the OH radicals Hy generated from the hydrogen containing gas. Thus, even if the OH radicals Hy adsorbed onto the silicon oxide film 62 are eliminated by the oxygen plasma P1, the OH radicals Hy generated from the oxygen plasma P1 may be adsorbed onto the silicon oxide film 62. Thus, compared with a case where only the Ar/O2 gas is supplied as explained above with reference to (e) of FIG. 9, the elimination of the OH radicals Hy from the surface U of the wafer W and the inner surface of the trench T near the aperture can be suppressed so that the distribution of the OH radicals Hy becomes uniform on the inner surface of the trench T.

Thus, when the wafer W moves back to the first process area P, as shown in (c) of FIG. 11, the molecules Ms of the organo-aminosilane gas from the reaction gas nozzle 31 are also uniformly adsorbed onto the inner surface of the trench T. Thus, as shown in (d) of FIG. 11, the silicon oxide film 62, which is formed by oxidizing the organo-aminosilane gas adsorbed on the inner surface of the trench T by the O3 gas, can also be uniformly formed.

Here, as the distribution of the OH radicals Hy on the inner surface of the trench T (especially near the aperture) can be controlled by controlling the flow rate of the hydrogen containing gas and/or the high frequency power, the thickness distribution of the silicon oxide film formed on the inner surface of the trench T can also be controlled.

Further, the thickness distribution of the silicon oxide film in the trench can also be controlled by controlling the rotational speed of the turntable 2.

Parts (a) and (b) of FIG. 12 show an example where the rotational speed of the turntable 2 is relatively low, and (c) and (d) of FIG. 12 show an example where the rotational speed of the turntable 2 is relatively high.

As shown in (a) of FIG. 12, when the rotational speed is relatively slow, a period in which the wafer W exists at the inner space S below the plasma generating device 80 becomes long. It means that a period in which the wafer W is exposed to the oxygen plasma becomes long. Therefore, the oxygen plasma P1 can reach a deeper side at the bottom portion of the trench T. Thus, when the oxygen plasma P1 is generated from the Ar/O2 gas (where the OH radicals are generated in the oxygen plasma P1), for example, the density of the OH radicals Hy tends to become lower at the bottom portion of the trench T as well. In other words, an area where the density of the OH radicals Hy becomes low spreads toward a bottom surface side. As a result, as shown in (b) of FIG. 12, an area where the silicon oxide film 62 formed on the inner surface of the trench T becomes thinner spreads toward the bottom portion side of the trench T. However, at this time, a period in which the organo-aminosilane gas is supplied to the wafer W also becomes long. Thus, the organo-aminosilane gas supplied in the first process area P1 tends to easily spread to the bottom portion of the trench T.

On the other hand, when the rotational speed is made faster, the wafer W passes through the inner space S below the plasma generating device 80 within a short period. It means that a period in which the wafer W is exposed to the oxygen plasma becomes short. Therefore, the oxygen plasma P1 hardly diffuses to the bottom portion of the trench T. Thus, as shown in (c) of FIG. 12, the OH radicals Hy are eliminated only at a part near the aperture of the trench T and the density of the OH radicals Hy at the part becomes low. As a result, as shown in (d) of FIG. 12, the silicon oxide film 62 becomes thinner at the part near the aperture of the trench T and becomes relatively thick at a lower part near the bottom surface side.

On the other hand, when the rotational speed becomes faster, a period in which the organo-aminosilane gas is supplied to the wafer W becomes short. Thus, the organo-aminosilane gas supplied at the first process area P1 hardly diffuses to the bottom portion of the trench T and the amount of the organo-aminosilane gas which is adsorbed onto the OH radicals Hy becomes low. Therefore, the silicon oxide film at the bottom surface side becomes thin.

It means that conflicting advantages can be obtained by the rotational speed of the turntable 2.

Here, by significantly effecting one of the advantages, the thickness of the silicon oxide film 62 at the bottom portion of the trench T can be controlled. For example, when the flow rate of the organo-aminosilane gas is high enough, the amount of the organo-aminosilane gas which diffuses to the bottom portion of the trench T can be maintained, thus, the thickness distribution of the silicon oxide film depends on the distribution of the OH radicals. Thus, the silicon oxide film at the bottom surface side of the trench can be made thicker, for example.

Further, as can be understood from the above explanation, the thickness distribution of the silicon oxide film can be controlled by controlling the supplying amount (flow rate) of the organo-aminosilane gas supplied from the reaction gas nozzle 31.

Parts (a) and (b) of FIG. 13 show an example where the flow rate of the organo-aminosilane gas is relatively high, and (c) and (d) of FIG. 13 show an example where the flow rate of the organo-aminosilane gas is relatively low.

For example, when uniformly distributing the OH radicals Hy on the inner surface of the trench T as described in the alternative example 1, while the flow rate of the organo-aminosilane gas is high enough, as shown in (a) of FIG. 13, the organo-aminosilane gas diffuses to the bottom portion of the trench T and is adsorbed onto almost all of the OH radicals Hy. As a result, as shown in (b) of FIG. 13, the thickness distribution of the silicon oxide film in the trench becomes substantially uniform by reflecting the distribution of the adsorbed OH radicals.

On the other hand, when uniformly distributing the OH radicals Hy on the inner surface of the trench T, while the flow rate of the organo-aminosilane gas is not high enough, as shown in (c) of FIG. 13, the amount of the organo-aminosilane gas is not enough to reach the bottom portion of the trench T. Thus, as shown in (d) of FIG. 13, the silicon oxide film is formed to have a thickness which is greater near the aperture than the bottom portion of the trench T.

According to the film deposition method of the embodiment, it is possible to control such that the thickness becomes less at the shallow portion in the trench T while the thickness becomes greater at the deeper portion in the depth direction, or the thickness becomes greater at the shallow portion in the trench T while the thickness becomes less at the deeper portion in the trench T in the depth direction.

Further, for the alternative example 4 of the film deposition method, a combination of the film deposition method which is explained with reference to FIG. 9 and the alternative example 3 can be adopted. It means that first, by controlling the distribution of the OH radicals Hy adsorbed onto the inner surface of the trench T by the oxygen plasma generated only from the Ar/O2 gas, the silicon oxide film 62 is formed such that the thickness becomes greater at the bottom portion of the trench T and becomes less at the aperture side. With this, the thickness distribution as shown in (a) of FIG. 14 can be obtained when the silicon oxide film 62 is formed to have a desired thickness.

Alternatively, the silicon oxide film 62 is formed such that the thickness becomes reduced at the bottom portion of the trench T and becomes greater at the aperture side by allowing the OH radicals Hy to be uniformly adsorbed onto the inner surface of the trench T due to the oxygen plasma generated from the hydrogen containing gas, for example, in addition to the Ar/O2 gas as well as lowering the flow rate of the organo-aminosilane gas. By this operation, the thickness distribution as shown in (b) of FIG. 14 can be obtained.

Thus, in the alternative example 4, the silicon oxide film 62 having substantially a uniform thickness on the inner surface of the trench T as shown in FIG. 14 (c) can be obtained in which the thickness distributions as shown in (a) and (b) of FIG. 14 are combined.

As described above, according to the film deposition method of the embodiments (including the alternative examples 1 to 4), the distribution of the organo-aminosilane gas which is adsorbed onto an inner surface of a trench formed in a wafer W can be controlled by the distribution of the OH radicals which are adsorbed onto the inner surface of the trench; thus the thickness distribution of the silicon oxide film formed on the inner surface of the trench can also be controlled.

The distribution of the OH radicals can be controlled by the high frequency waves provided to the antenna 85 of the plasma generating device 80, the flow rate of the hydrogen containing gas supplied from the gas introduction nozzle 92 to the inner space S, the rotational speed of the turntable 2, and the like. Further, the thickness distribution of the silicon oxide film can further be controlled by controlling the flow rate of the organo-aminosilane gas in addition to controlling the distribution of the OH radicals.

Further, according to the film deposition method of the embodiments (including the alternative examples 1 to 4), as the deposited silicon oxide film is exposed to the oxygen plasma, the water or the organic impurities generated by the decomposition of the organo-aminosilane gas and included in the silicon oxide film can be removed by the oxygen plasma to form the high density silicon oxide film. Further, as the atoms in the silicon oxide film can be rearranged by the impact of the high energy particles in the collisions with oxygen plasma, the film quality may be improved.

As described above, according to the film deposition method of the embodiment, the thickness of the silicon oxide film formed on the inner wall of the trench T can be controlled in accordance with the following mechanism.

When the organo-aminosilane gas (first reaction gas) and the O3 gas (second reaction gas) are supplied onto the wafer W provided with the trench T, a silicon oxide film (a film of a reaction product with a second reaction gas which reacts with the first reaction gas) is formed and OH radicals (hydroxyl radicals) are generated on the surface thereof.

Then, the oxygen plasma is supplied before the organo-aminosilane gas is supplied in the next cycle, and the OH radicals are partially eliminated by the oxygen plasma. At this time, the oxygen plasma hardly reaches the bottom portion of the trench T so that the amount of the OH radicals is not reduced at the bottom portion of the trench T. Therefore, the amount of OH radicals is controlled to be smaller at a shallow portion than at a deeper portion in the trench T.

On the other hand, when the hydrogen containing gas is also supplied with the oxygen plasma, the OH radicals are generated from the hydrogen containing gas. Thus, elimination of the OH radicals near the aperture of the trench T can be reduced and the distribution of the OH radicals becomes uniform in the trench.

Further, when the rotational speed of the wafer is increased, the oxygen plasma hardly reaches the bottom portion of the trench T so that the amount of the OH radicals is controlled to be smaller at a shallow portion than that at a deeper portion in the trench T.

On the other hand, when the rotational speed of the wafer W is reduced, the oxygen plasma reaches deeper inside the bottom portion of the trench T so that the amount of the OH radicals in the trench is reduced.

As described above, the adsorption distribution of the OH radicals in the depth direction of the trench T can be controlled by controlling the flow rate of the oxygen plasma (including controlling the high frequency power), controlling the supplying of the hydrogen containing gas (including controlling the flow rate of the hydrogen containing gas), or controlling the rotational speed of the wafer W (including controlling the period in which the wafer W is exposed to the oxygen plasma). Here, as described above, the organo-aminosilane gas tends to be adsorbed onto the OH radicals. Thus, the thickness of the silicon oxide film generated by the organo-aminosilane gas can be controlled in accordance with the adsorption distribution of the OH radicals in the depth direction of the trench T.

Further, the thickness of the silicon oxide film generated by the organo-aminosilane gas can be controlled by controlling the flow rate of the organo-aminosilane gas, or controlling the rotational speed of the wafer W (including controlling the period in which the organo-aminosilane gas is supplied to the wafer W).

Examples are explained.

In this example, an influence on the thickness distribution of the silicon oxide film formed in a hole h formed in a silicon wafer (the diameter of which is 300 mm) by the high frequency power generating the plasma, the flow rate of the ammonia gas (NH3) as the hydrogen containing gas, the flow rate of the organo-aminosilane gas, and the rotational speed of the turntable 2 is examined.

As shown in FIG. 15, the hole h has a column shape whose inner diameter is about 0.22 μm and depth is about 8.8 μm where the aspect ratio (depth/(inner diameter)) is 40. Further in FIG. 15, measured positions are shown for which the thickness of the silicon oxide film is measured by a scanning electron microscope (SEM) in this example. The thickness of the silicon oxide film is measured at four points including a point (TOP) in the vicinity of the hole h at the surface of the silicon wafer, a point (TOP side) on a side surface of the hole h near the aperture, a point (CTR) in the middle of the hole h in the depth direction, and a point (BTM) on the side surface of the hole h near the bottom surface. In the following, the thicknesses of the TOP side, the CTR, and the BTM are normalized by the thickness at the TOP (it is expressed as 100% when the thickness is equal to the thickness at the TOP). Further, the deposition rate is obtained by measuring the thickness at the center of the silicon wafer in addition to the thickness in the hole h. Here, the targeted thickness is adjusted to be a thickness not to fill the hole h. Further, in this example, the above described film deposition apparatus 1 is used.

(1) Dependency on High Frequency Power

Part (a) of FIG. 16 shows a relationship between the thickness distribution of the silicon oxide film deposited in the hole h and the high frequency power. The conditions other than the high frequency power are as follows.

The ozone gas is obtained by supplying the oxygen gas at the flow rate of 6 standard liter/min (slm) to a predetermined ozone generator connected to the reaction gas nozzle 32, while generating ozone of 300 g/Nm3 by the ozone generator.

With reference to (a) of FIG. 16, when the silicon oxide film is deposited without supplying the NH3 gas from the gas introduction nozzle 92, if the high frequency power is not applied to the plasma generating device 80, the thickness at the TOP side (the side surface near the aperture) becomes greater than the thickness at the TOP, and the thicknesses at the CTR (near the center of the hole h in the depth direction) and the BTM (the side surface near the bottom surface) become less than that at the TOP. Thus, when the silicon oxide film is further formed by this condition, there is a possibility of the aperture of the hole h becoming blocked by the silicon oxide and a void being generated in the hole h.

On the other hand, when the silicon oxide film is formed without supplying the NH3 gas from the gas introduction nozzle 92, and the high frequency waves of 1400 W are applied to the plasma generating device 80, the thicknesses at any of the TOP side, the CTR, and the BTM become far greater than the thickness at the TOP. Further, the deposition rate of the silicon oxide film (see “x” in FIG. 16 and the axis of ordinates at the right) is greatly lowered compared with a case when the high frequency power is not applied. It can be understood that the OH radicals adsorbed onto the deposited silicon oxide film are eliminated by the oxygen plasma generated by the plasma generating device 80. Further, the thicknesses of the film at the CTR and the BTM are greater than that at the TOP side. It can be understood that a larger amount of OH radicals are eliminated from the TOP and the TOP side.

Further, when the silicon oxide film is deposited while supplying the NH3 gas at 30 sccm from the gas introduction nozzle 92, it can be understood that the deposition rate becomes lower as the high frequency power is increased. It means that the OH radicals adsorbed onto the deposited silicon oxide film are eliminated so that the organo-aminosilane gas tends not to be adsorbed to reduce the deposition rate as the magnitude of the oxygen plasma becomes large. When the high frequency power is 1400 W, the thicknesses at the CTR and the BTM become significantly greater than that at the TOP side.

(Dependency on Flow Rate of NH3 Gas)

Part (b) of FIG. 16 shows a relationship between the thickness distribution of the silicon oxide film deposited in the hole h and the flow rate of the NH3 gas. The conditions other than the flow rate of the NH3 gas are as follows.

With reference to (b) of FIG. 16, when the flow rate of the NH3 gas is increased, the thicknesses at the TOP side, the CTR, and the BTM become relatively less compared with the thickness at the TOP (in other words, the thickness distribution in the hole h becomes uniform). It means that the concentration of the OH radicals in the oxygen plasma generated by the plasma generating device 80 increases in accordance with increasing the flow rate of the NH3 gas so that the elimination of the OH radicals adsorbed onto the silicon oxide film especially at the TOP is suppressed.

(Dependency on Flow Rate of Organo-Aminosilane Gas)

Part (c) of FIG. 16 shows a relationship between the thickness distribution of the silicon oxide film deposited in the hole h and the flow rate of the organo-aminosilane gas. The conditions other than the flow rate of the organo-aminosilane gas are as follows.

With reference to (c) of FIG. 16, when the flow rate of the organo-aminosilane gas is increased, the deposition rate also increases. Further, it can be understood that the thicknesses at the CTR and the BTM become greater compared with the thickness at the TOP side in accordance with increasing the flow rate of the organo-aminosilane gas. It means that the organo-aminosilane gas sufficiently reaches the bottom surface side of the hole h.

(Dependency on Rotational Speed of Turntable 2)

Part (d) of FIG. 16 shows a relationship between the thickness distribution of the silicon oxide film deposited in the hole h and the rotational speed of the turntable 2. The conditions other than the rotational speed are as follows.

With reference to (d) of FIG. 16, when the rotational speed of the turntable 2 is increased, the film deposition speed decreases. This means that the period in which the silicon wafer is exposed to the organo-aminosilane gas becomes short, and the adsorption amount of the organo-aminosilane gas is reduced. Further, reduced adsorption amount is greater at the bottom surface side of the hole h and the thicknesses at the CTR and the BTM become relatively less compared with the thickness at the TOP side in accordance with increasing the rotational speed. In other words, the distribution of the thickness of the silicon oxide film formed in the hole h is changed from a distribution in which the thickness is less at the aperture side and greater at the bottom surface side to a distribution where the film is uniformly formed inside in accordance with increasing the rotational speed.

As described above, it is confirmed that the thickness distribution of the silicon oxide film deposited in the hole can be arbitrarily controlled by the high frequency power provided via the antenna 85 of the plasma generating device 80, the flow rate of the hydrogen containing gas supplied from the gas introduction nozzle 92 to the inner space S, the rotational speed of the turntable 2, and the flow rate of the organo-aminosilane gas.

Further, as shown in FIG. 17, it is confirmed that the thickness of the silicon oxide film deposited in the hole can be made substantially uniform by further adjusting the high frequency power, the flow rate of the hydrogen containing gas, the rotational speed, and the flow rate of the organo-aminosilane gas.

In this example, the silicon oxide film is deposited in accordance with the film deposition method of the alternative example 4. This means that the first film deposition step in which the thickness at the bottom surface of the hole becomes greater, and the second film deposition step in which the thickness near the aperture of the hole becomes greater are performed. The conditions for the first film deposition step and the second film deposition step are as follows. In this example, the hydrogen (H2) gas is used as the hydrogen containing gas supplied from the gas introduction nozzle 92.

(First Film Deposition Step)

FIG. 18 is a view including SEM views of the result of the example. The values shown in each of the drawings express the respective thickness normalized by the thickness at the TOP. Here, (a) of FIG. 18 shows a result in which only the first film deposition step is performed, and (b) of FIG. 18 shows a result in which only the second film deposition step is performed without performing the first film deposition step.

In the first film deposition step, as shown in (a) of FIG. 18, the thicknesses at the TOP side, the CTR, and the BTM are greater than the thickness at the TOP, and further the thicknesses are greater at the BTM, the CTR, and the TOP side in this order (the thickness at the bottom surface side of the hole is the greatest).

On the other hand, in the second film deposition step, compared with the case of the first film deposition step, the flow rate of the organo-aminosilane gas is reduced and the rotational speed of the turntable 2 is increased. With these influences, as shown in (b) of FIG. 18, the thicknesses at the BTM, the CTR, and the TOP side are less in this order (the thickness at the bottom surface side of the hole is the least).

Part (c) of FIG. 18 shows a result of a case where the first film deposition step and the second film deposition step are successively performed. As shown, the normalized thicknesses at the TOP side, the CTR, and the BTM are within a range between 98% to 102%. This means that the thickness at the TOP (the surface of the wafer near the hole) and the thicknesses at the positions at the inner surface of the hole (the TOP side, the CTR, and the BTM) are almost 50 nm, respectively. Thus, according to the film deposition method of the alternative example 4, the thickness of the silicon oxide film can be made uniform not only in the hole but also at the surface of the wafer.

The present invention is not limited to the specifically disclosed embodiments, and variations and modifications may be made without departing from the scope of the present invention.

For example, in the above embodiments, the plasma generating device 80 is a so-called inductive coupling plasma (ICP) source including the antenna 85. However, the plasma generating device 80 may adopt a capacitively coupled plasma (CCP) source in which plasma is generated by applying high frequency to two rod electrodes extending in a parallel relationship with each other. Even when the CCP source is used, the oxygen plasma can be similarly generated and the above advantages can be obtained.

Further, the Si containing gas supplied from the reaction gas nozzle 31 is not limited to the organo-aminosilane gas as long as it can be adsorbed onto the OH radicals, and may be organosilicon compound gas. Further, the oxidation gas supplied from the reaction gas nozzle 32 is not limited to the O3 gas, and may be O2 (oxygen) gas or a mixture gas of O2 and O3, for example.

Further, as long as it is possible to be adsorbed onto the OH radicals, an organometallic gas may be supplied from the reaction gas nozzle 31, for example. For example, zirconium oxide (ZrO) can be deposited by supplying an organometallic gas containing zirconium (Zr) from the reaction gas nozzle 31 as the organometallic gas while supplying the O3 gas or the like from the reaction gas nozzle 32. In this case, the thickness of ZrO deposited on the inner surface of the trench or the like can be controlled. Here, Tetrakis (Ethylmethylamino) Zirconium (TEMAZ) may be used as the Zr containing organometallic gas.

Further, alternatively, the organometallic gas may be the organometallic gas containing aluminum (Al). An aluminum oxide (AlO) film may be deposited by supplying the Al containing organometallic gas from the reaction gas nozzle 31 while supplying the O3 gas or the like from the reaction gas nozzle 32. Here, Trimethyl Aluminum (TMA), or Dimethylethylamine alane, for example, may be used as the Al containing organometallic gas. Further, it is possible to form a ZrAlO film by complementarily supplying the Zr containing organometallic gas and the Al containing organometallic gas from the reaction gas nozzle 31.

Further, for the hydrogen containing gas supplied to the inner space S of the plasma generating device 80 via the gas introduction nozzle 92, both the NH3 gas and the H2 gas may be used. Further, as long as a gas is capable of generating the OH radicals, the gas is not limited to the NH3 gas or the H2 gas; for example, H2O (water), H2N—NH2 (hydrazine), H2O2 (hydrogen peroxide), carbon hydride gas or the like may be used.

The plasma generating device which generates the oxygen plasma for improving the density or the quality of the silicon oxide film may be provided separately from the plasma generating device 80 which generates the plasma for controlling the distribution of the OH radicals. In this case, in the plasma generating device for controlling the distribution of the OH radicals, as long as the adsorbed OH radicals can be eliminated and the OH radicals are generated in the plasma, it is not necessary to generate the oxygen plasma.

Further, the above embodiments are applicable to deposit a film on an inner surface of a space of a line and space pattern, a via hole, a trench via or the like, in addition to the trench.

According to the embodiment, a film deposition method is capable of controlling the thickness distribution of a film which is deposited in a concave portion formed on a substrate.

The following embodiments are also included.

According to an embodiment, there is provided a film deposition method of depositing a reaction product of a first reaction gas and a second reaction gas capable of reacting with the first reaction gas, including a step of adsorbing in which hydroxyl radicals are adsorbed at a desired distribution on an inner surface of a concave portion formed at a surface of a substrate, a step of supplying the first reaction gas to the inner surface of the concave portion on which the hydroxyl radicals are adsorbed, and a step of generating the reaction product on the inner surface by having the first reaction gas adsorbed onto the inner surface of the concave portion react with the second reaction gas.

The desired distribution of the hydroxyl radicals corresponds to a desired thickness distribution of the film deposited in the concave portion, so that the distribution of the hydroxyl radicals is controlled to provide the desired thickness distribution.

For example, in a method of manufacturing a semiconductor integrated circuit, when the film is to be formed to be thicker at the bottom surface side of the concave portion and thinner at the aperture side so that the concave portion is filled with the film without the void, the hydroxyl radicals are adsorbed with a distribution which can provide such thickness distribution of the film. Further, when the film is to be uniformly formed on the entire inner surface of the concave portion, the hydroxyl radicals are adsorbed with a distribution which can provide such thickness distribution of the film.

Further, the hydroxyl radicals can be adsorbed inside the concave portion with a desired distribution by selectively eliminating the hydroxyl radicals generated by the reaction of a first reaction gas and a second reaction gas and adsorbed inside the concave portion.

Further, according to another embodiment, there is provided a film deposition method which deposits a reaction product of a first reaction gas and a second reaction gas which reacts with the first reaction gas, including a first step and a second step as follows.

The first step includes a step in which the hydroxyl radicals are adsorbed onto an inner surface of the concave portion formed at a surface of a substrate with a distribution in which the thickness of the reaction product is greater at the bottom surface side; a step of supplying the first reaction gas to the inner surface of the concave portion onto which the hydroxyl radicals are adsorbed, and a step of forming the reaction product by allowing the first reaction gas react with the second reaction gas.

The second step includes a step in which the hydroxyl radicals are adsorbed onto an inner surface of the concave portion formed at the surface of the substrate with a distribution in which the thickness of the reaction product is greater at the aperture side of the concave portion, a step of supplying the first reaction gas to the inner surface of the concave portion onto which the hydroxyl radicals are adsorbed, and a step of forming the reaction product by allowing the first reaction gas to react with the second reaction gas.

Here, the above “first” of the first step and the “second” of the second step are simply used to differentiate the steps and do not express the order. Thus, the first step may be performed after performing the second step.

Although a preferred embodiment of the film deposition method has been specifically illustrated and described, it is to be understood that minor modifications may be made therein without departing from the spirit and scope of the invention as defined by the claims.

Kato, Hitoshi, Tamura, Tatsuya, Kumagai, Takeshi

Patent Priority Assignee Title
10083836, Jul 24 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Formation of boron-doped titanium metal films with high work function
10087525, Aug 04 2015 ASM IP Holding B.V. Variable gap hard stop design
10151031, Feb 10 2014 Tokyo Electron Limited Method for processing a substrate and substrate processing apparatus
10167557, Mar 18 2014 ASM IP Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
10229833, Nov 01 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10249524, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10249577, May 17 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
10262859, Mar 24 2016 ASM IP Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
10269558, Dec 22 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10276355, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
10283353, Mar 29 2017 ASM IP HOLDING B V Method of reforming insulating film deposited on substrate with recess pattern
10287675, Jan 29 2016 Tokyo Electron Limited Film deposition method
10290508, Dec 05 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming vertical spacers for spacer-defined patterning
10312055, Jul 26 2017 ASM IP Holding B.V. Method of depositing film by PEALD using negative bias
10312129, Sep 29 2015 ASM IP Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
10319588, Oct 10 2017 ASM IP HOLDING B V Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10322384, Nov 09 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Counter flow mixer for process chamber
10340125, Mar 08 2013 ASM IP Holding B.V. Pulsed remote plasma method and system
10340135, Nov 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
10343920, Mar 18 2016 ASM IP HOLDING B V Aligned carbon nanotubes
10361201, Sep 27 2013 ASM IP Holding B.V. Semiconductor structure and device formed using selective epitaxial process
10364493, Aug 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
10364496, Jun 27 2011 ASM IP Holding B.V. Dual section module having shared and unshared mass flow controllers
10366864, Mar 18 2013 ASM IP Holding B.V. Method and system for in-situ formation of intermediate reactive species
10367080, May 02 2016 ASM IP HOLDING B V Method of forming a germanium oxynitride film
10378106, Nov 14 2008 ASM IP Holding B.V. Method of forming insulation film by modified PEALD
10381219, Oct 25 2018 ASM IP Holding B.V. Methods for forming a silicon nitride film
10381226, Jul 27 2016 ASM IP Holding B.V. Method of processing substrate
10388509, Jun 28 2016 ASM IP Holding B.V. Formation of epitaxial layers via dislocation filtering
10388513, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10395919, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10403504, Oct 05 2017 ASM IP HOLDING B V Method for selectively depositing a metallic film on a substrate
10410943, Oct 13 2016 ASM IP Holding B.V. Method for passivating a surface of a semiconductor and related systems
10435790, Nov 01 2016 ASM IP Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
10438965, Dec 22 2014 ASM IP Holding B.V. Semiconductor device and manufacturing method thereof
10446393, May 08 2017 ASM IP Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
10458016, Dec 25 2015 Tokyo Electron Limited Method for forming a protective film
10458018, Jun 26 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Structures including metal carbide material, devices including the structures, and methods of forming same
10460932, Mar 31 2017 ASM IP HOLDING B V Semiconductor device with amorphous silicon filled gaps and methods for forming
10468251, Feb 19 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
10468261, Feb 15 2017 ASM IP HOLDING B V Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
10468262, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
10480067, Feb 03 2016 Tokyo Electron Limited Film deposition method
10480072, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10483099, Jul 26 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming thermally stable organosilicon polymer film
10501866, Mar 09 2016 ASM IP Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
10504742, May 31 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of atomic layer etching using hydrogen plasma
10510536, Mar 29 2018 ASM IP Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
10529542, Mar 11 2015 ASM IP Holdings B.V. Cross-flow reactor and method
10529554, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
10529563, Mar 29 2017 ASM IP Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
10535516, Feb 01 2018 ASM IP Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
10541173, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
10541333, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
10559458, Nov 26 2018 ASM IP Holding B.V. Method of forming oxynitride film
10561975, Oct 07 2014 ASM IP Holdings B.V. Variable conductance gas distribution apparatus and method
10566223, Aug 28 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Systems and methods for dynamic semiconductor process scheduling
10590535, Jul 26 2017 ASM IP HOLDING B V Chemical treatment, deposition and/or infiltration apparatus and method for using the same
10600673, Jul 07 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Magnetic susceptor to baseplate seal
10604847, Mar 18 2014 ASM IP Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
10605530, Jul 26 2017 ASM IP Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
10607895, Sep 18 2017 ASM IP HOLDING B V Method for forming a semiconductor device structure comprising a gate fill metal
10612136, Jun 29 2018 ASM IP HOLDING B V ; ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
10612137, Jul 08 2016 ASM IP HOLDING B V Organic reactants for atomic layer deposition
10622375, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10643826, Oct 26 2016 ASM IP HOLDING B V Methods for thermally calibrating reaction chambers
10643904, Nov 01 2016 ASM IP HOLDING B V Methods for forming a semiconductor device and related semiconductor device structures
10644025, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10655221, Feb 09 2017 ASM IP Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
10658181, Feb 20 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of spacer-defined direct patterning in semiconductor fabrication
10658205, Sep 28 2017 ASM IP HOLDING B V Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
10665452, May 02 2016 ASM IP Holdings B.V. Source/drain performance through conformal solid state doping
10672636, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10683571, Feb 25 2014 ASM IP Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
10685834, Jul 05 2017 ASM IP Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
10692741, Aug 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Radiation shield
10707106, Jun 06 2011 ASM IP Holding B.V.; ASM IP HOLDING B V High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
10714315, Oct 12 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Semiconductor reaction chamber showerhead
10714335, Apr 25 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of depositing thin film and method of manufacturing semiconductor device
10714350, Nov 01 2016 ASM IP Holdings, B.V.; ASM IP HOLDING B V Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10714385, Jul 19 2016 ASM IP Holding B.V. Selective deposition of tungsten
10720322, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top surface
10720331, Nov 01 2016 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10731249, Feb 15 2018 ASM IP HOLDING B V Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
10734223, Oct 10 2017 ASM IP Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10734244, Nov 16 2017 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by the same
10734497, Jul 18 2017 ASM IP HOLDING B V Methods for forming a semiconductor device structure and related semiconductor device structures
10741385, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10755922, Jul 03 2018 ASM IP HOLDING B V Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10755923, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10767789, Jul 16 2018 ASM IP Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
10770286, May 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
10770336, Aug 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate lift mechanism and reactor including same
10784102, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
10787741, Aug 21 2014 ASM IP Holding B.V. Method and system for in situ formation of gas-phase compounds
10796902, May 23 2016 Tokyo Electron Limited Film deposition method
10797133, Jun 21 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
10804098, Aug 14 2009 ASM IP HOLDING B V Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
10811256, Oct 16 2018 ASM IP Holding B.V. Method for etching a carbon-containing feature
10818758, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
10829852, Aug 16 2018 ASM IP Holding B.V. Gas distribution device for a wafer processing apparatus
10832903, Oct 28 2011 ASM IP Holding B.V. Process feed management for semiconductor substrate processing
10844484, Sep 22 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
10844486, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10847365, Oct 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming conformal silicon carbide film by cyclic CVD
10847366, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
10847371, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
10851456, Apr 21 2016 ASM IP Holding B.V. Deposition of metal borides
10854498, Jul 15 2011 ASM IP Holding B.V.; ASM JAPAN K K Wafer-supporting device and method for producing same
10858737, Jul 28 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Showerhead assembly and components thereof
10865475, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides and silicides
10867786, Mar 30 2018 ASM IP Holding B.V. Substrate processing method
10867788, Dec 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10872771, Jan 16 2018 ASM IP Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
10883175, Aug 09 2018 ASM IP HOLDING B V Vertical furnace for processing substrates and a liner for use therein
10886123, Jun 02 2017 ASM IP Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
10892156, May 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
10896820, Feb 14 2018 ASM IP HOLDING B V Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
10900121, Nov 21 2016 Tokyo Electron Limited Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device
10910262, Nov 16 2017 ASM IP HOLDING B V Method of selectively depositing a capping layer structure on a semiconductor device structure
10914004, Jun 29 2018 ASM IP Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
10923344, Oct 30 2017 ASM IP HOLDING B V Methods for forming a semiconductor structure and related semiconductor structures
10928731, Sep 21 2017 ASM IP Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
10934619, Nov 15 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Gas supply unit and substrate processing apparatus including the gas supply unit
10941490, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
10943771, Oct 26 2016 ASM IP Holding B.V. Methods for thermally calibrating reaction chambers
10950432, Apr 25 2017 ASM IP Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
10975470, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11018047, Jan 25 2018 ASM IP Holding B.V. Hybrid lift pin
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11024523, Sep 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11056567, May 11 2018 ASM IP Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
9267204, Sep 04 2008 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium
9297072, Dec 01 2008 Tokyo Electron Limited Film deposition apparatus
9416448, Aug 29 2008 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
9558931, Jul 27 2012 ASM IP HOLDING B V System and method for gas-phase sulfur passivation of a semiconductor surface
9589770, Mar 08 2013 ASM IP Holding B.V. Method and systems for in-situ formation of intermediate reactive species
9605342, Sep 12 2012 ASM IP Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
9605343, Nov 13 2013 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
9627221, Dec 28 2015 ASM IP Holding B.V. Continuous process incorporating atomic layer etching
9647114, Aug 14 2015 ASM IP Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
9657845, Oct 07 2014 ASM IP Holding B.V. Variable conductance gas distribution apparatus and method
9659799, Aug 28 2012 ASM IP Holding B.V.; ASM IP HOLDING B V Systems and methods for dynamic semiconductor process scheduling
9714467, Feb 10 2014 Tokyo Electron Limited Method for processing a substrate and substrate processing apparatus
9790595, Jul 12 2013 ASM IP Holding B.V. Method and system to reduce outgassing in a reaction chamber
9837271, Jul 18 2014 ASM IP HOLDING B V Process for forming silicon-filled openings with a reduced occurrence of voids
9890456, Aug 21 2014 ASM IP Holding B.V. Method and system for in situ formation of gas-phase compounds
D880437, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D900036, Aug 24 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Heater electrical connector and adapter
D903477, Jan 24 2018 ASM IP HOLDING B V Metal clamp
D913980, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
ER3967,
ER4489,
ER6015,
ER6328,
ER8750,
Patent Priority Assignee Title
7981815, Jul 20 2006 KOKUSAI ELECTRIC CORPORATION Semiconductor device producing method and substrate processing apparatus
8835332, Dec 27 2011 Tokyo Electron Limited Film deposition method
20050032372,
20080242097,
JP2007042884,
JP2009212303,
JP2011054742,
JP2011216862,
WO2008010546,
////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Dec 07 2012KATO, HITOSHITokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335230286 pdf
Dec 07 2012TAMURA, TATSUYATokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335230286 pdf
Dec 07 2012KUMAGAI, TAKESHITokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335230286 pdf
Aug 13 2014Tokyo Electron Limited(assignment on the face of the patent)
Date Maintenance Fee Events
Oct 25 2018M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Oct 19 2022M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
May 05 20184 years fee payment window open
Nov 05 20186 months grace period start (w surcharge)
May 05 2019patent expiry (for year 4)
May 05 20212 years to revive unintentionally abandoned end. (for year 4)
May 05 20228 years fee payment window open
Nov 05 20226 months grace period start (w surcharge)
May 05 2023patent expiry (for year 8)
May 05 20252 years to revive unintentionally abandoned end. (for year 8)
May 05 202612 years fee payment window open
Nov 05 20266 months grace period start (w surcharge)
May 05 2027patent expiry (for year 12)
May 05 20292 years to revive unintentionally abandoned end. (for year 12)