To manufacture a ceramic article, a ceramic body comprising al2O3 is roughened to a roughness of approximately 140 micro-inches (μin) to 240 μin. The ceramic body is subsequently cleaned and then coated with a ceramic coating. The ceramic coating comprises a compound of y4al2O9 (YAM) and a solid solution of y2-xZrxO3. The ceramic coating is then polished.

Patent
   9090046
Priority
Apr 16 2012
Filed
Jan 22 2013
Issued
Jul 28 2015
Expiry
Jan 22 2033
Assg.orig
Entity
Large
58
145
currently ok
8. A ceramic lid for a plasma etch reactor, comprising:
a ceramic body comprising al2O3, a first region of a side of the ceramic body having a roughened surface with a roughness of approximately 140 micro-inches (pin) to approximately 240 μin and a second region of the side having an unroughened surface, wherein the second region is along an outer edge of the ceramic body; and
a ceramic coating on the first region of the ceramic body, wherein the ceramic coating comprises a compound of y4al2O9 and a solid-solution of y2O3—ZrO2 and has a thickness of approximately 8-10 mil, wherein the second region of the ceramic body lacks the ceramic coating;
wherein the ceramic body comprises a hole, and wherein walls of the hole are not coated by the ceramic coating.
1. A ceramic article comprising a ceramic body and a ceramic coating, the ceramic article having been prepared by a process comprising:
applying a first mask to a first region of the ceramic body, wherein the first region is along an outer edge of the ceramic body and the ceramic body comprises al2O3;
roughening the ceramic body to a roughness of approximately 140 micro-inches (μin) to approximately 240 μin, wherein the first region of the ceramic body covered by the first mask is not roughened;
cleaning the ceramic body;
applying a second mask to the first region of the ceramic body;
coating the ceramic body with the ceramic coating using a mixture comprising a first ceramic powder of y2O3, a second ceramic powder of zro2 and a third ceramic powder of al2O3, wherein the ceramic coating is formed from the first ceramic powder of y2O3, the second ceramic powder of zro2 and the third ceramic powder of al2O3, and wherein the first region of the ceramic body is an uncoated region after the coating; and
polishing the ceramic coating, wherein the ceramic body comprises a hole, and wherein walls of the hole are not coated by the ceramic coating.
2. The ceramic article of claim 1, wherein the ceramic coating comprises a compound of y4al2O9 (YAM) and a solid solution of y2-xZrxO3.
3. The ceramic article of claim 1, wherein the ceramic coating has a final thickness of approximately 8-10 mil after performing the polishing.
4. The ceramic article of claim 1, wherein coating the ceramic body comprises:
heating the ceramic body to a temperature of approximately 50° C. to 70° C.; and
plasma spraying the ceramic body using a plasma spray power of approximately 35 W to 36.5 W while the ceramic body is heated.
5. The ceramic article of claim 1, wherein the coating causes a rough edge to form at an interface between the uncoated region and a coated region of the ceramic body, the process further comprising:
trimming the coating at the interface to remove the rough edge.
6. The ceramic article of claim 1, wherein the ceramic coating comprises 55-77 mol % y2O3, 15-23 mol % Z2O3 and 8-25 mol % al2O3.
7. The ceramic article of claim 1, wherein the ceramic coating is polished to a roughness of approximately 6-12 μin.
9. The ceramic lid of claim 8, further comprising:
an interface between the first region and the second region, wherein the ceramic coating is chamfered or rounded at the interface but the ceramic body is unchamfered and unrounded at the interface.
10. The ceramic lid of claim 8, wherein a roughness of the ceramic coating is approximately 6-12 μin.
11. The ceramic lid of claim 8, wherein the ceramic coating comprises 55-77 mol % y2O3, 15-23 mol % Z2O3 and 8-25 mol % al2O3.
12. The ceramic lid of claim 8, wherein the second region is coplanar with the first region and forms a ring around the first region.

This patent application claims the benefit under 35 U.S.C. §119(e) of U.S. Provisional Application No. 61/624,937, filed Apr. 16, 2012.

Embodiments of the present invention relate, in general, to ceramic coated articles and to a process for applying a ceramic coating to a ceramic body.

In the semiconductor industry, devices are fabricated by a number of manufacturing processes producing structures of an ever-decreasing size. Some manufacturing processes such as plasma etch and plasma clean processes expose a substrate to a high-speed stream of plasma to etch or clean the substrate. The plasma may be highly corrosive, and may corrode processing chambers and other surfaces that are exposed to the plasma. This corrosion may generate particles, which frequently contaminate the substrate that is being processed, contributing to device defects.

As device geometries shrink, susceptibility to defects increases, and particle contaminant requirements become more stringent. Accordingly, as device geometries shrink, allowable levels of particle contamination may be reduced. To minimize particle contamination introduced by plasma etch and/or plasma clean processes, chamber materials have been developed that are resistant to plasmas. Examples of such plasma resistant materials include ceramics composed of Al2O3, AlN, SiC, Y2O3, quartz, and ZrO2. Different ceramics provide different material properties, such as plasma resistance, rigidity, flexural strength, thermal shock resistance, and so on. Also, difference ceramics have different material costs. Accordingly, some ceramics have superior plasma resistance, other ceramics have lower costs, and still other ceramics have superior flexural strength and/or thermal shock resistance.

In one embodiment, a ceramic article includes a ceramic body and a ceramic coating on the ceramic body. To manufacture the ceramic article, a ceramic body comprising Al2O3 is roughened to a roughness of approximately 140 micro-inches (μin) to 240 μin. The ceramic body is subsequently cleaned and then coated with a ceramic coating. The ceramic coating includes a compound of Y4Al2O9 (YAM) and a solid solution of Y2-xZrxO3. The ceramic coating is then polished.

The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that different references to “an” or “one” embodiment in this disclosure are not necessarily to the same embodiment, and such references mean at least one.

FIG. 1 illustrates an exemplary architecture of a manufacturing system, in accordance with one embodiment of the present invention;

FIG. 2 is a flow chart showing a process for manufacturing a coated ceramic article, in accordance with embodiments of the present invention;

FIG. 3 shows cross sectional side views of a ceramic article during different stages of a manufacturing process, in accordance with embodiments of the present invention;

FIG. 4A illustrates a bottom view of a ceramic lid for an etcher, in accordance with one embodiment of the present invention.

FIG. 4B illustrates a side view of a ceramic lid for an etcher, in accordance with one embodiment of the present invention.

FIG. 5 shows various micrographs of a sample of a ceramic article, in accordance with embodiments of the present invention; and

FIG. 6 shows additional micrographs of a ceramic coating's surface at various magnification levels before the ceramic coating is polished, and after the ceramic coating has been polished, in accordance with embodiments of the present invention.

Embodiments of the invention are directed to a process for coating a ceramic body with a ceramic coating, and to a ceramic article (e.g., a lid for a plasma etch reactor) created using such a coating process. In one embodiment, a ceramic body is roughened, cleaned and coated with a ceramic coating. Parameters for the roughening, the cleaning and the coating may be optimized to maximize an adhesion strength of the ceramic coating to the ceramic body, and thus to reduce future delamination of the ceramic coating from the ceramic body. The ceramic coating may then be machined to remove jagged edges and/or to reduce a surface roughness. The machining may further reduce delamination of the ceramic coating from the ceramic body.

The ceramic coating of the ceramic article may be highly resistant to plasma etching, and the ceramic body may have superior mechanical properties such as a high flexural strength and a high thermal shock resistance. For example, Al2O3 has a high thermo-mechanical strength, but also has relatively high aluminum contamination levels and a low plasma resistance. In contrast, Y2O3 containing ceramics have enhanced plasma resistance and a low on-wafer level aluminum contamination, but have a relatively low thermo-mechanical strength. Accordingly, the ceramic article may have the advantageous properties of a first ceramic substance (e.g., Al2O3) and the advantageous properties of a second ceramic substance (e.g., a Y2O3 containing ceramic), without the weaknesses of either ceramic substance. Performance properties of the coated ceramic article may include a relatively high thermal capability (e.g., ability to withstand operating temperatures of up to approximately 120° C.), a relatively long lifespan (e.g., over approximately 2 years when used in a plasma environment), low on-wafer particle and metal contamination, and a stable electrostatic chuck (ESC) leakage current performance (e.g., by blocking the formation of AlF at the ceramic article).

When the terms “about” and “approximately” are used herein, these are intended to mean that the nominal value presented is precise within ±10%. Note also that some embodiments are described herein with reference to ceramic lids and ceramic nozzles used in plasma etchers for semiconductor manufacturing. However, it should be understood that such plasma etchers may also be used to manufacture micro-electro-mechanical systems (MEMS)) devices. Additionally, the ceramic articles described herein may be other structures that are exposed to plasma. For example, the ceramic articles may be ceramic rings, walls, bases, gas distribution plates, shower heads, substrate holding frames, etc. of a plasma etcher, a plasma cleaner, a plasma propulsion system, and so forth.

Moreover, embodiments are described herein with reference to ceramic articles that cause reduced particle contamination when used in a process chamber for plasma rich processes. However, it should be understood that the ceramic articles discussed herein may also provide reduced particle contamination when used in process chambers for other processes such as plasma enhanced chemical vapor deposition (PECVD) chambers, plasma enhanced physical vapor deposition (PEPVD) chambers and plasma enhanced atomic layer deposition (PEALD) chambers, as well as non-plasma etchers, non-plasma cleaners, chemical vapor deposition (CVD) furnaces, physical vapor deposition (PVD) furnaces, and so forth.

FIG. 1 illustrates an exemplary architecture of a manufacturing system 100, in accordance with embodiments of the present invention. The manufacturing system 100 may be a ceramics manufacturing system. In one embodiment, the manufacturing system 100 includes processing equipment 101 connected to an equipment automation layer 115. The processing equipment 101 may include a bead blaster 102, one or more wet cleaners 103, a ceramic coater 104 and/or one or more grinders 105. The manufacturing system 100 may further include one or more computing device 120 connected to the equipment automation layer 115. In alternative embodiments, the manufacturing system 100 may include more or fewer components. For example, the manufacturing system 100 may include manually operated (e.g., off-line) processing equipment 101 without the equipment automation layer 115 or the computing device 120.

Bead blaster 102 is a machine configured to roughen the surface of articles such as ceramic bodies. Bead blaster 102 may be a bead blasting cabinet, a hand held bead blaster, or other type of bead blaster. Bead blaster 102 may roughen a ceramic body by bombarding the ceramic body with beads or particles. In one embodiment, bead blaster 102 fires ceramic beads or particles at the ceramic body. The roughness achieved by the bead blaster 102 may be based on a force used to fire the beads, bead materials, bead sizes and/or processing duration. In one embodiment, the bead blaster uses a range of bead sizes to roughen the ceramic article.

In alternative embodiments, other types of surface rougheners than a bead blaster 102 may be used. For example, a motorized abrasive pad may be used to roughen the surface of ceramic bodies. A sander may rotate or vibrate the abrasive pad while the abrasive pad is pressed against a surface of the ceramic article. A roughness achieved by the abrasive pad may depend on an applied pressure, on a vibration or rotation rate and/or on a roughness of the abrasive pad.

Wet cleaners 103 are cleaning apparatuses that clean articles (e.g., ceramic articles) using a wet clean process. Wet cleaners 103 include wet baths filled with liquids, in which the ceramic body is immersed to clean the ceramic body. Wet cleaners 103 may agitate the wet bath using ultrasonic waves during cleaning to improve a cleaning efficacy. This is referred to herein as sonicating the wet bath.

In one embodiment, wet cleaners 103 include a first wet cleaner that cleans the ceramic articles using a bath of de-ionized (DI) water and a second wet cleaner that cleans the ceramic articles using a bath of acetone. Both wet cleaners 103 may sonicate the baths during cleaning processes. The wet cleaners 103 may clean the ceramic body at multiple stages during processing. For example, wet cleaners 103 may clean a ceramic article after a ceramic body has been roughened, after a ceramic coating has been applied to the ceramic body, after the ceramic article has been used in processing, and so forth.

In other embodiments, alternative types of cleaners such as dry cleaners may be used to clean the ceramic articles. Dry cleaners may clean ceramic articles by applying heat, by applying gas, by applying plasma, and so forth.

Ceramic coater 104 is a machine configured to apply a ceramic coating to the surface of a body (e.g. a substrate). In one embodiment, ceramic coater 104 is a plasma sprayer that plasma sprays a ceramic coating onto the ceramic body.

In alternative embodiments, the ceramic coater 104 may apply other thermal spraying techniques such as detonation spraying, wire arc spraying, high velocity oxygen fuel (HVOF) spraying, flame spraying, warm spraying and cold spraying may be used. Additionally, ceramic coater 104 may perform other coating processes such as aerosol deposition, electroplating, physical vapor deposition (PVD), ion assisted deposition (IAD) and chemical vapor deposition (CVD) may be used to form the ceramic coating.

Grinders 105 are machines having an abrasive disk that grinds and/or polishes a surface of the ceramic article. The grinders 105 may include a polishing/grinding system such as a rough lapping station, a chemical mechanical planarization (CMP) device, and so forth. The grinders 105 may include a platen that holds a ceramic body and an abrasive disk or polishing pad that is pressed against the ceramic body while being rotated. These grinders 105 grind a surface of the ceramic coating to decrease a roughness of the ceramic coating and/or to reduce a thickness of the ceramic coating. The grinders 105 may grind/polish the ceramic coating in multiple steps, where each step uses an abrasive pad with a slightly different roughness and/or a different slurry (e.g., if CMP is used). For example, a first abrasive pad with a high roughness may be used to quickly grind down the ceramic coating to a desired thickness, and a second abrasive pad with a low roughness may be used to polish the ceramic coating to a desired roughness. In one embodiment, the ceramic coating has a post-polished thickness of 8-10 mil (thousandth of an inch) and a post polished roughness of 6-12 μin.

The grinders 105 may additionally include an angle grinder that grinds the ceramic coating at an angle. The angle grinder has an abrasive disk or pad that is held at an angle to the ceramic body. The angle grinder can trim the ceramic coating, and generate chamfers, rounded edges or other sloped transitions between a ceramic coating and a ceramic body.

The equipment automation layer 115 may interconnect some or all of the manufacturing machines 101 with computing devices 120, with other manufacturing machines, with metrology tools and/or other devices. The equipment automation layer 115 may include a network (e.g., a location area network (LAN)), routers, gateways, servers, data stores, and so on. Manufacturing machines 101 may connect to the equipment automation layer 115 via a SEMI Equipment Communications Standard/Generic Equipment Model (SECS/GEM) interface, via an Ethernet interface, and/or via other interfaces. In one embodiment, the equipment automation layer 115 enables process data (e.g., data collected by manufacturing machines 101 during a process run) to be stored in a data store (not shown). In an alternative embodiment, the computing device 120 connects directly to one or more of the manufacturing machines 101.

In one embodiment, some or all manufacturing machines 101 include a programmable controller that can load, store and execute process recipes. The programmable controller may control temperature settings, gas and/or vacuum settings, time settings, etc. of manufacturing machines 101. The programmable controller may include a main memory (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM), static random access memory (SRAM), etc.), and/or a secondary memory (e.g., a data storage device such as a disk drive). The main memory and/or secondary memory may store instructions for performing heat treatment processes described herein.

The programmable controller may also include a processing device coupled to the main memory and/or secondary memory (e.g., via a bus) to execute the instructions. The processing device may be a general-purpose processing device such as a microprocessor, central processing unit, or the like. The processing device may also be a special-purpose processing device such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like. In one embodiment, programmable controller is a programmable logic controller (PLC).

In one embodiment, the manufacturing machines 101 are programmed to execute recipes that will cause the manufacturing machines to roughen a body, clean a body and/or ceramic article, coat a ceramic article and/or machine (e.g., grind or polish) a ceramic article. In one embodiment, the manufacturing machines 101 are programmed to execute recipes that perform operations of a multi-step process for manufacturing a coated ceramic article, as described with reference to FIG. 2.

FIG. 2 is a flow chart showing a process 200 for manufacturing a coated ceramic article, in accordance with embodiments of the present invention. The operations of process 200 may be performed by various manufacturing machines, as set forth in FIG. 1.

At block 201, a ceramic body (e.g., a ceramic substrate) is provided. The ceramic body may be formed from a bulk ceramic such as Y2O3 (yttria), Y4Al2O9 (YAM), Al2O3 (alumina), Y3Al5O12 (YAG), Quartz, YAlO3 (YAP), SiC (silicon carbide), Si3N4 (silicon nitride), AlN (aluminum nitride), ZrO2 (zirconia), AlON (aluminum oxynitride), TiO2 (titania), TiC (titanium carbide), ZrC (zirconium carbide), TiN (titanium nitride), TiCN (titanium carbon nitride), Y2O3 stabilized ZrO2 (YSZ), and so on. The body may also be a ceramic composite such as an Al2O3-YAG ceramic composite or a SiC—Si3N4 ceramic composite. The ceramic body may also be a ceramic composite that includes a yttrium oxide (also known as yttria and Y2O3) containing solid solution. For example, the ceramic body may be a high performance material (HPM) that is composed of a compound Y4Al2O9 (YAM) and a solid solution Y2-xZrxO3 (Y2O3—ZrO2 solid solution). Note that pure yttrium oxide as well as yttrium oxide containing solid solutions may be doped with one or more of ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, or other oxides. In one embodiment, the ceramic body is bulk Al2O3, which may have been formed based on ceramic sintering. In one embodiment, the ceramic body has a thickness of approximately 1 in.

At block 202, the provided ceramic body is masked to cover portions or regions of the ceramic body that will not be roughened. Any region that will not ultimately be coated with a ceramic coating may be masked. In one embodiment, a hard mask (e.g., a metal mask) is used to mask the region.

At block 205 of process 200, the ceramic body is roughened by a bead blaster (or other ceramic roughener). In one embodiment, the bead blaster uses ceramic beads to blast the quartz ring. The ceramic beads may have a bead size of approximately 0.2-2 mm. In one embodiment, the ceramic beads have a size range of approximately 0.2-2 mm. The bead blaster may bead blast the quartz ring with an air pressure of approximately 30-90 psi and a working distance of approximately 50-150 mm, and the blasting angle to the body should be about or slightly less than 90 degree. The bead blaster may roughen exposed portions of the ceramic body (those portions not covered by the mask).

In one embodiment, a processed ceramic body has a post-blast roughness of approximately 140-240 μin. Roughening the ceramic body to an optimal roughness may improve adhesion strength of a ceramic coating to the ceramic body.

At block 210, the roughened ceramic body is cleaned. The ceramic body may be cleaned using one or more wet cleaners. Each wet cleaner may contain one or more wet baths with various liquids, such as deionized (DI) water and acetone. In one embodiment, a first wet cleaner executes a cleaning recipe that cleans the ceramic article for up to 10 minutes in a DI water bath, while ultrasonically agitating the DI water bath with a frequency and power of up to 100%. In one embodiment, a second wet cleaner executes a cleaning recipe that cleans the ceramic article for up to 10 minutes in an acetone bath, while ultrasonically agitating the acetone bath with a frequency and power of up to 100%. The ceramic body may then be cleaned with the first wet cleaner a second time using the same or different processing parameters. This may remove any residue caused by the acetone bath, and may further remove ceramic particles. In one embodiment, the ceramic body is cleaned by both wet cleaners multiple times. For example, the ceramic body may be cleaned in a DI bath, then in an acetone bath, then in the DI bath, then in the acetone bath, and then in the DI bath.

At block 212, the ceramic body is masked. Those portions of the ceramic body that were not roughened (e.g., the same portions that were previously masked) may be masked. In one embodiment, a soft mask is used to cover the portions that are not to be roughened. The soft mask may be, for example, a tape that is placed over the portions that will not be roughened.

At block 215, the roughened ceramic body is coated with a ceramic coating. A side of the ceramic body that will be exposed to a plasma environment may be coated. In one embodiment, a plasma sprayer is used to plasma spray the ceramic coating onto the ceramic body.

The mixed raw ceramic powders are then sprayed onto the ceramic body. The ceramic body may be heated to a temperature of approximately 50-70° C. during the plasma spraying. In one embodiment, a plasma power of approximately 35-36.5 Watts (W) is used to plasma spray the ceramic body. The plasma spray process may be performed in multiple spray passes. In one embodiment, approximately 35-40 spray passes are applied to create a ceramic coating having a thickness of approximately 17.5-21 mil.

The ceramic coating may have a porosity of approximately 2-10% (e.g., less than approximately 5% in one embodiment), a hardness of approximately 3-8 gigapascals (GPa) (e.g., greater than approximately 4 GPa in one embodiment), and a thermal shock resistance of approximately 8-20 megapascals (MPa) (e.g., greater than approximately 10 MPa in one embodiment). Additionally, the ceramic coating may have an adhesion strength of approximately 4-20 MPa (e.g., greater than approximately 14 MPa in one embodiment). Adhesion strength may be determined by applying a force (e.g., measured in megapascals) to the ceramic coating until the ceramic coating peels off from the ceramic body.

Similar to the ceramic body, the ceramic coating may be formed of Y2O3, Y4Al2O9, Al2O3, Y3Al5O12 (YAG), Quartz, SiC, Si3N4, AlN, ZrO2, or any other ceramics described above with reference to the ceramic body. Also similar to the ceramic body, the ceramic coating may be pure yttrium oxide or a yttrium oxide containing solid solution that may be doped with one or more of ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, or other oxides. In one embodiment, the ceramic coating is composed of the HPM ceramic. However, the ceramic coating is formed by spraying or growing the ceramic coating on the ceramic body, and the ceramic body may be formed by a sintering process.

In one embodiment, the ceramic coating is a yttrium oxide containing ceramic or other yttrium containing oxide that is deposited on the ceramic body using a thermal spraying technique or plasma spraying technique. Thermal spraying techniques and plasma spraying techniques may melt materials (e.g., ceramic powders) and spray the melted materials onto the ceramic body. The thermally sprayed or plasma sprayed ceramic coating may have a thickness about 20 micrometers (μm) to about several millimeters (mm). The ceramic coating may have structural properties that are different from those of bulk ceramic materials (e.g., such as the ceramic body).

In one embodiment, the ceramic coating is produced from Y2O3 powder. Alternatively, the ceramic coating may be a HPM ceramic composite produced from a mixture of a Y2O3 powder, ZrO2 powder and Al2O3 powder. In one embodiment, the HPM ceramic composite contains 77% Y2O3, 15% ZrO2 and 8% Al2O3. In another embodiment, the HPM ceramic composite contains 63% Y2O3, 23% ZrO2 and 14% Al2O3. In still another embodiment, the HPM ceramic composite contains 55% Y2O3, 20% ZrO2 and 25% Al2O3. Relative percentages may be in molar ratios. For example, the HPM ceramic may contain 77 mol % Y2O3, 15 mol % ZrO2 and 8 mol % Al2O3. Other distributions of these ceramic powders may also be used for the HPM material.

In one embodiment, raw ceramic powders of Y2O3, Al2O3 and ZrO2 are mixed together. These raw ceramic powders may have a purity of 99.9% or greater in one embodiment. The raw ceramic powders may be mixed using, for example, ball milling. The raw ceramic powders may have a powder size of approximately 3-10 μm. In one embodiment, the raw ceramic powders have a powder size of approximately 5 μm. After the ceramic powders are mixed, they may be calcinated at a calcination temperature of approximately 1200-1600° C. (e.g., 1400° C. in one embodiment) and a calcination time of approximately 2-5 hours (e.g., 3 hours in one embodiment). The spray dried granular particle size for the mixed powder may have a size distribution of approximately 30 μm.

At block 220, the ceramic coating is trimmed. In one embodiment, edges of the ceramic coating are trimmed where the ceramic coating interfaces with the ceramic body. The ceramic coating may be ground at an angle (e.g., using an angle grinder) to chamfer the ceramic coating at the interfaces. The trimming may remove any ceramic coating from the non-roughened portions of the ceramic body. This may minimize peeling.

At block 222, the ceramic coating is ground, lapped and/or polished. The grinding/polishing may reduce a thickness of the ceramic coating and/or reduce a roughness of the ceramic coating. The ceramic article (including the ceramic body and the ceramic coating) may be used as a chamber component (e.g., a lid) in a chamber for a plasma etcher (also known as a plasma etch reactor) used to perform a conductor etch. By minimizing the surface roughness of the ceramic coated article, inadvertent sputtering may be reduced or eliminated during etch processes. In one embodiment, the ceramic coating has a post-polish thickness of approximately 8-10 mil and a post-polish roughness of approximately 6-12 μin.

At block 225, the ceramic article is cleaned. The ceramic article may be cleaned using one or more wet cleaners. In one embodiment, a first wet cleaner executes a cleaning recipe that cleans the ceramic article for up to 10 minutes in a DI water bath, while ultrasonically agitating the DI water bath with a frequency and power of up to 100%. In one embodiment, a second wet cleaner executes a cleaning recipe that cleans the ceramic article for up to 10 minutes in an acetone bath, while ultrasonically agitating the acetone bath with a frequency and power of up to 100%. The ceramic article may then be cleaned with the first wet cleaner a second time.

After cleaning, the ceramic article may be tested for particles. Measured parameters that represent particle count are a tape peel test particle count and a liquid particle count (LPC). A tape test may be performed by attaching an adhesive tape to the ceramic coating, peeling the tape off, and counting a number of particles that adhere to the tape. The LPC may be determined by placing the ceramic article in a water bath (e.g., a de-ionized (DI) water bath) and sonicating the water bath. A number of particles that come off in the solution may then be counted using, for example, a laser counter.

In one embodiment, the ceramic article is automatically loaded into manufacturing machines that perform one or more of operations 205-225 by loaders. In one embodiment, the manufactured ceramic article is a ceramic lid, a ceramic nozzle, or another process chamber element for a plasma etcher or plasma cleaner. An example ceramic lid may include an Al2O3 body (or substrate) with at least one side coated by an HPM coating. In one embodiment, an outer edge of the at least one side of the ceramic lid is not coated. An example ceramic nozzle may include an Al2O3 body and an HPM layer coating at least one side.

FIG. 3 shows cross sectional side views 310-350 of a ceramic article during different stages of a manufacturing process, in accordance with embodiments of the present invention. In one embodiment, the cross sectional side views correspond to a state of a ceramic article during different stages of manufacturing process 200.

Side view 310 shows a hard mask 353 disposed over a protected portion of a provided ceramic body (e.g. an Al2O3 ceramic body). Side view 310 shows a state of a ceramic article after completion of block 202 of method 200. The hard mask 353 may prevent the protected portion from becoming roughened during bead blasting.

Side view 320 shows the ceramic body 352 after bead blasting has been performed. The ceramic body 352 has a roughened surface 358, corresponding to a portion of the ceramic body that was not protected during the bead blasting. The ceramic body 352 additionally has a smooth surface 357 corresponding to a portion of the ceramic body that has not been roughened. As shown, a soft mask 356 is disposed on the ceramic body 352 over the smooth surface 357 after the ceramic body 352 has been roughened. The soft mask 356 may be used to cover a same region of the ceramic body 352 that was previously protected by the hard mask 353. Side view 320 shows a state of the ceramic article after completion of block 212.

Side view 330 shows a ceramic coating 360 over ceramic body 352. In one embodiment, the ceramic coating is a HPM ceramic composite having Y4Al2O9 and Y2-xZrxO3. As shown, the ceramic coating 360 has a rough surface 362. This rough surface may be a source of particle contamination when the ceramic article is used in processing. Additionally, if the ceramic article may be used as a lid in a plasma etcher that performs a conductor etch, the rough surface 362 may cause sputtering to occur (e.g., due to inductive coupling). Additionally, the ceramic coating may have a lip 363 and/or rough edges where the soft mask 356 had been. This lip 363 may cause the ceramic coating 360 to peel away from the ceramic body 352 during processing. Additionally, this lip may be a source of particle contamination. Side view 330 shows a state of the ceramic article after completion of block 215.

Side view 340 shows the ceramic coating 360 over the ceramic body 352 after edges of the ceramic coating 360 have been trimmed. Side view 340 shows a state of the ceramic article after completion of block 220. As shown, the ceramic coating 360 has a tapered or chamfered edge 366.

Side view 350 shows the ceramic coating 360 over the ceramic body 352 after the ceramic coating 360 has been ground and polished. Side view 350 shows a state of the ceramic article after completion of block 222. As shown, the rough surface 362 of the ceramic coating 360 has been smoothed, and a thickness of the ceramic coating 360 has been reduced.

FIG. 4A illustrates a bottom view 400 of a ceramic lid for an etcher, in accordance with one embodiment of the present invention. FIG. 4B illustrates a side view 402 of a ceramic lid for an etcher, in accordance with one embodiment of the present invention. As shown, the ceramic lid is composed of a ceramic body 405 with a hole in the center and a ceramic coating 410 over one side of the ceramic body 405. The ceramic coating 410 does not cover an entirety of the coated side of the ceramic body 405. For example, a lip 415 of the ceramic body 405 has no ceramic coating and the walls of the hole have no ceramic coating. The lip 415 may rest on walls of a process chamber, and may support the ceramic lid. The ceramic coating 410 may have weaker structural material properties than the ceramic body 405, and may be damaged due to pressure caused by resting on walls of the processing chamber. Accordingly, it may be advantageous to leave the lip 415 uncoated. A transition area 420 between the ceramic coating 410 and the ceramic body 405 at the lip 415 may be sloped or chamfered to minimize particle contamination and peeling.

FIG. 5 shows various micrographs 502-508 of a sample of a ceramic article, in accordance with embodiments of the present invention. Micrograph 502 illustrates a ceramic coating's surface at a 4,000-fold magnification. Micrograph 504 shows a sample of the ceramic coating's surface at a 20,000-fold magnification.

Micrograph 506 illustrates a cross-sectional side view of the ceramic article at a 4,000-fold magnification. As shown, the ceramic article includes a ceramic body 510 and a ceramic coating 515 bonded to the ceramic body 510. Micrograph 508 illustrates a sample of the ceramic coating at a 20,000 fold magnification.

FIG. 6 shows additional micrographs 602-612 of a ceramic coating's surface at various magnification levels before the ceramic coating is polished, and after the ceramic coating has been polished, in accordance with embodiments of the present invention. Micrograph 602 illustrates a ceramic coating at a 1,000-fold magnification before the ceramic coating has been polished. Micrograph 604 illustrates a ceramic coating at a 4,000-fold magnification before the ceramic coating has been polished. Micrograph 606 illustrates a ceramic coating at a 10,000-fold magnification before the ceramic coating has been polished.

Micrograph 608 illustrates a ceramic coating at a 1,000-fold magnification after the ceramic coating has been polished. Micrograph 610 illustrates a ceramic coating at a 4,000-fold magnification after the ceramic coating has been polished. Micrograph 612 illustrates a ceramic coating at a 10,000-fold magnification after the ceramic coating has been polished.

The preceding description sets forth numerous specific details such as examples of specific systems, components, methods, and so forth, in order to provide a good understanding of several embodiments of the present invention. It will be apparent to one skilled in the art, however, that at least some embodiments of the present invention may be practiced without these specific details. In other instances, well-known components or methods are not described in detail or are presented in simple block diagram format in order to avoid unnecessarily obscuring the present invention. Thus, the specific details set forth are merely exemplary. Particular implementations may vary from these exemplary details and still be contemplated to be within the scope of the present invention.

Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrase “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. In addition, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.”

Although the operations of the methods herein are shown and described in a particular order, the order of the operations of each method may be altered so that certain operations may be performed in an inverse order or so that certain operation may be performed, at least in part, concurrently with other operations. In another embodiment, instructions or sub-operations of distinct operations may be in an intermittent and/or alternating manner.

It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reading and understanding the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Lubomirsky, Dmitry, Sun, Jennifer Y., Agarwal, Sumit, Kanungo, Biraja P., Duan, Ren-Guan

Patent Priority Assignee Title
10020170, Jul 27 2012 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
10119188, Jun 20 2013 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
10186400, Jan 20 2017 Applied Materials, Inc.; Applied Materials, Inc Multi-layer plasma resistant coating by atomic layer deposition
10196728, May 16 2014 Applied Materials, Inc Plasma spray coating design using phase and stress control
10418229, May 24 2013 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
10443126, Apr 06 2018 Applied Materials, Inc Zone-controlled rare-earth oxide ALD and CVD coatings
10468235, Sep 18 2013 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
10501843, Jun 20 2013 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
10544500, Apr 25 2014 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
10563297, Apr 25 2014 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
10573497, Jan 20 2017 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
10577286, Nov 12 2013 Applied Materials, Inc. Rare-earth oxide based chamber material
10584068, Nov 12 2013 Applied Materials, Inc. Rare-earth oxide based chamber material
10604831, May 16 2014 Applied Materials, Inc. Plasma spray coating design using phase and stress control
10676819, Jun 23 2016 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
10730798, May 07 2014 Applied Materials, Inc Slurry plasma spray of plasma resistant ceramic coating
10755900, May 10 2017 Applied Materials, Inc Multi-layer plasma erosion protection for chamber components
10796888, Jul 19 2013 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
10815562, Apr 25 2014 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
10858741, Mar 11 2019 Applied Materials, Inc Plasma resistant multi-layer architecture for high aspect ratio parts
10930526, Jul 20 2013 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
10934216, Nov 12 2013 Applied Materials, Inc. Rare-earth oxide based chamber material
11008653, Jul 15 2016 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
11047035, Feb 23 2018 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
11053581, Jun 20 2013 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
11180847, Dec 06 2018 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
11198936, Apr 27 2016 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
11198937, Apr 27 2016 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
11251023, Jan 20 2017 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
11279656, Oct 27 2017 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
11326253, Apr 27 2016 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
11424136, Jul 20 2013 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
11566317, Dec 06 2013 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
11566318, Dec 06 2013 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
11566319, Dec 06 2013 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
11578398, May 16 2014 Applied Materials, Inc. Plasma spray coating design using phase and stress control
11587771, Jul 27 2012 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
11667575, Jul 18 2018 Applied Materials, Inc Erosion resistant metal oxide coatings
11667578, Oct 27 2017 Applied Materials, Inc. Methods of making nanopowders, nanoceramic materials and nanoceramic components
11680308, Jun 20 2013 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
11773479, Apr 25 2014 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
9394615, Apr 27 2012 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
9440886, Nov 12 2013 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
9460898, Aug 08 2014 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
9583369, Jul 20 2013 Applied Materials, Inc Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
9617188, Nov 12 2013 Applied Material, Inc. Rare-earth oxide based coating
9708713, May 24 2013 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
9711334, Jul 19 2013 Applied Materials, Inc Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
9725799, Dec 06 2013 Applied Materials, Inc Ion beam sputtering with ion assisted deposition for coatings on chamber components
9797037, Dec 06 2013 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
9812341, Jul 20 2013 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
9850568, Jun 20 2013 Applied Materials, Inc Plasma erosion resistant rare-earth oxide based thin film coatings
9869012, Jul 20 2013 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings
9869013, Apr 25 2014 Applied Materials, Inc Ion assisted deposition top coat of rare-earth oxide
9884787, Nov 12 2013 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
9890086, Nov 12 2013 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
9970095, Apr 25 2014 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
9976211, Apr 25 2014 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
Patent Priority Assignee Title
3796182,
4399199, Feb 01 1979 Johnson, Matthey & Co., Limited Protective layer
4439248, Feb 02 1982 HAYNES INTERNATINAL, INC Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
4642440, Nov 13 1984 PLASMAFUSION INC , A CORP OF DE Semi-transferred arc in a liquid stabilized plasma generator and method for utilizing the same
4704299, Nov 06 1985 Battelle Memorial Institute Process for low temperature curing of sol-gel thin films
4880614, Nov 03 1988 Allied-Signal Inc.; ALLIED-SIGNAL INC , A CORP OF DE Ceramic thermal barrier coating with alumina interlayer
5381944, Nov 04 1993 Lawrence Livermore National Security LLC Low temperature reactive bonding
5415756, Mar 28 1994 UNIVERSITY OF HOUSTON Ion assisted deposition process including reactive source gassification
5626923, Sep 19 1995 McDonnell Douglas Corporation Method of applying ceramic coating compositions to ceramic or metallic substrate
5631803, Jan 06 1995 Applied Materials, Inc Erosion resistant electrostatic chuck with improved cooling system
5687679, Oct 05 1994 United Technologies Corporation Multiple nanolayer coating system
5800871, Jan 12 1995 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
5837058, Jul 12 1996 Applied Materials, Inc High temperature susceptor
5853815, Aug 18 1994 Sulzer Metco AG Method of forming uniform thin coatings on large substrates
5916689, Jan 12 1995 Applied Materials Inc Electrostatic chuck with an impregnated, porous layer that exhibits the Johnson-Rahbeck effect
6106959, Aug 11 1998 SIEMENS ENERGY, INC Multilayer thermal barrier coating systems
6194083, Jul 28 1997 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
6245202, Apr 12 1996 Hitachi, LTD Plasma treatment device
6361645, Oct 08 1998 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
6506254, Jun 30 2000 Lam Research Corporation Semiconductor processing equipment having improved particle performance
6530990, Mar 24 1997 Cree, Inc. Susceptor designs for silicon carbide thin films
6534194, May 02 2000 Johns Hopkins University Method of making reactive multilayer foil and resulting product
6581275, Jan 22 2001 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
6616031, Jul 17 2001 ASM Assembly Automation Limited Apparatus and method for bond force control
6783875, Apr 18 2000 NGK Insulators, Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
6794059, Apr 27 2000 Standard Aero Limited Multilayer thermal barrier coatings
6805952, Dec 29 2000 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
6933254, Nov 20 2001 Covalent Materials Corporation Plasma-resistant articles and production method thereof
6942929, Jan 08 2002 Applied Materials, Inc Process chamber having component with yttrium-aluminum coating
7300537, Jun 27 2002 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
7351482, Dec 30 2003 General Electric Company Ceramic compositions for thermal barrier coatings stabilized in the cubic crystalline phase
7441688, Nov 04 2003 Nanofoil Corporation Methods and device for controlling pressure in reactive multilayer joining and resulting product
7507268, Aug 02 2001 3M Innovative Properties Company Al2O3-Y2O3-ZrO2/HfO2 materials, and methods of making and using the same
7510641, Jul 21 2003 Triad National Security, LLC High current density electropolishing in the preparation of highly smooth substrate tapes for coated conductors
7615133, Dec 04 2001 Canon Anelva Corporation Electrostatic chuck module and cooling system
7648782, Mar 20 2006 TOKYO ELECTRON LIMITED , TOCALO CO , LTD ; TOKYO ELECTRON LIMITRD , TOCALO , LTD ; Tokyo Electron Limited; TOCALO CO , LTD Ceramic coating member for semiconductor processing apparatus
7649729, Oct 12 2007 Applied Materials, Inc Electrostatic chuck assembly
7690308, Sep 28 2006 Northrop Grumman Systems Corporation Methods of fabricating and igniting flares including reactive foil and a combustible grain
7696117, Apr 27 2007 Applied Materials, Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
7810704, Mar 30 2005 Nanofoil Corporation Method for fabricating large dimension bonds using reactive multilayer joining
7964517, Jan 29 2009 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
8034734, Apr 27 2007 Applied Materials, Inc. Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
8206829, Nov 10 2008 Applied Materials, Inc.; Applied Materials, Inc Plasma resistant coatings for plasma chamber components
8367227, Aug 02 2007 Applied Materials, Inc Plasma-resistant ceramics with controlled electrical resistivity
8404572, Feb 13 2009 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Multi-zone temperature control for semiconductor wafer
20010003271,
20020018921,
20020086119,
20020100424,
20030007308,
20030047802,
20030159657,
20030185965,
20030232139,
20040009297,
20040033385,
20040110016,
20040266216,
20050037193,
20050042876,
20050056056,
20050084654,
20050266682,
20060068189,
20060096703,
20060164785,
20070006561,
20070212567,
20070218302,
20080016684,
20080029032,
20080090034,
20080099148,
20080108225,
20080169588,
20080174930,
20080213496,
20080223725,
20080241412,
20080264564,
20080264565,
20080268645,
20090034147,
20090034148,
20090034149,
20090036292,
20090075486,
20090133713,
20090214825,
20090284894,
20090297718,
20100053841,
20100112192,
20100119843,
20100160143,
20100177454,
20100218472,
20100272982,
20100314356,
20110049729,
20110086178,
20110149462,
20110151237,
20110174441,
20110198034,
20110268877,
20110268956,
20110315081,
20120034469,
20120040100,
20120076574,
20120100299,
20120104703,
20120125488,
20120299253,
20120307412,
20130019797,
20130026720,
20130174983,
20130216783,
20130216821,
20130224675,
20130273313,
20130273327,
20130284373,
20130288037,
20140030486,
20140030533,
DE301654,
EP330196,
JP2002087878,
JP2005279481,
JP2010106327,
JP2010229492,
KR1020030025007,
KR1020070013118,
KR1020110028378,
KR1020110117845,
WO124581,
WO200968,
WO2013126466,
WO2013155220,
WO2013162909,
WO2014018830,
WO2014018835,
///////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Jan 16 2013DUAN, REN-GUANApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0296940435 pdf
Jan 16 2013LUBOMIRSKY, DMITRYApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0296940435 pdf
Jan 16 2013DUAN, REN GUANApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0359740512 pdf
Jan 17 2013SUN, JENNIFER Y Applied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0296940435 pdf
Jan 17 2013KANUNGO, BIRAJA P Applied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0296940435 pdf
Jan 17 2013AGARWAL, SUMIT Applied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0296940435 pdf
Jan 22 2013Applied Materials, Inc.(assignment on the face of the patent)
Date Maintenance Fee Events
Dec 19 2018M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Dec 20 2022M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
Jul 28 20184 years fee payment window open
Jan 28 20196 months grace period start (w surcharge)
Jul 28 2019patent expiry (for year 4)
Jul 28 20212 years to revive unintentionally abandoned end. (for year 4)
Jul 28 20228 years fee payment window open
Jan 28 20236 months grace period start (w surcharge)
Jul 28 2023patent expiry (for year 8)
Jul 28 20252 years to revive unintentionally abandoned end. (for year 8)
Jul 28 202612 years fee payment window open
Jan 28 20276 months grace period start (w surcharge)
Jul 28 2027patent expiry (for year 12)
Jul 28 20292 years to revive unintentionally abandoned end. (for year 12)