A method for fabricating a semiconductor device includes forming a plurality of first spacers over a substrate. A second spacer of a plurality of second spacers is deposited on sidewalls of each first spacer. In some embodiments, a spacing between adjacent first spacers is configured such that second spacers formed on sidewalls of the adjacent first spacers physically merge to form a merged second spacer. A second spacer cut process may be performed to selectively remove at least one second spacer. In some embodiments, a third spacer of a plurality of third spacers is formed on sidewalls of each second spacer. A third spacer cut process may be performed to selectively remove at least one third spacer. A first etch process is performed on the substrate to form fin regions. The plurality of third spacers mask portions of the substrate during the first etch process.
|
10. A method of semiconductor device fabrication, comprising:
forming a plurality of mandrels over a substrate and forming a pair of first spacers each having a first spacer width on sidewalls of each mandrel of the plurality of mandrels;
etching the plurality of mandrels and forming a pair of second spacers each having a second spacer width on sidewalls of each first spacer;
removing the first spacers and forming a pair of third spacers each having a third spacer width on sidewalls of each second spacer; and
after forming the pair of third spacers, etching the second spacers and performing a first etch process to form fin regions within the substrate, wherein the third spacers mask portions of the substrate during the first etch process, and wherein a fin region width is substantially equal to about the third spacer width.
1. A method of semiconductor device fabrication, comprising:
forming a plurality of first spacers over a substrate, wherein each first spacer of the plurality of first spacers has a first spacer width;
depositing a second spacer of a plurality of second spacers on sidewalls of each first spacer of the plurality of first spacers, wherein each second spacer of the plurality of second spacers has a second spacer width;
forming a third spacer of a plurality of third spacers on each opposing sidewall of each second spacer of the plurality of second spacers, wherein each third spacer of the plurality of third spacers has a third spacer width; and
performing a first etch process on the substrate to form fin regions within the substrate, wherein the plurality of third spacers mask portions of the substrate during the first etch process, and wherein a fin region width is substantially equal to about the third spacer width.
19. A method of fabricating a semiconductor device, comprising:
fabricating mandrels over a substrate, wherein the mandrels define a pattern for subsequently formed first spacers;
forming the first spacers on sidewalls of the mandrels, wherein the first spacers define a pattern for subsequently formed second spacers;
removing the mandrels and forming second spacers on sidewalls of the first spacers;
etching the first spacers and performing a second spacer cut process to remove a first set of second spacers and leave a second set of second spacers;
forming third spacers on sidewalls of the second set of second spacers;
etching the second set of second spacers and performing a third spacer cut process to remove a first set of third spacers and leave a second set of third spacers; and
performing a substrate etch process to form fin regions within the substrate, wherein the second set of third spacers mask portions of the substrate during the substrate etch process.
2. The method of
prior to forming the third spacer, performing a second etch process to selectively remove the plurality of first spacers without substantial etching of surrounding materials.
3. The method of
prior to performing the first etch process, performing a third etch process to selectively remove the plurality of second spacers without substantial etching of surrounding materials.
4. The method of
after performing a third etch process and prior to performing the first etch process, performing a third spacer cut process to selectively remove at least one third spacer of the plurality of third spacers.
5. The method of
after performing a second etch process and prior to forming the third spacer, performing a second spacer cut process to selectively remove at least one second spacer of the plurality of second spacers.
6. The method of
7. The method of
8. The method of
9. The method of
11. The method of
12. The method of
13. The method of
14. The method of
15. The method of
16. The method of
17. The method of
after removing the first spacers and prior to forming the pair of third spacers, performing a second spacer cut process to selectively remove at least one second spacer.
18. The method of
after etching the second spacers and prior to performing the first etch process, performing a third spacer cut process to selectively remove at least one third spacer.
20. The method of
|
The electronics industry has experienced an ever increasing demand for smaller and faster electronic devices which are simultaneously able to support a greater number of increasingly complex and sophisticated functions. Accordingly, there is a continuing trend in the semiconductor industry to manufacture low-cost, high-performance, and low-power integrated circuits (ICs). Thus far these goals have been achieved in large part by scaling down semiconductor IC dimensions (e.g., minimum feature size) and thereby improving production efficiency and lowering associated costs. However, such scaling has also introduced increased complexity to the semiconductor manufacturing process. Thus, the realization of continued advances in semiconductor ICs and devices calls for similar advances in semiconductor manufacturing processes and technology.
Recently, multi-gate devices have been introduced in an effort to improve gate control by increasing gate-channel coupling, reduce OFF-state current, and reduce short-channel effects (SCEs). One such multi-gate device that has been introduced is the fin field-effect transistor (FinFET). The FinFET gets its name from the fin-like structure which extends from a substrate on which it is formed, and which is used to form the FET channel. FinFETs are compatible with conventional complementary metal-oxide-semiconductor (CMOS) processes and their three-dimensional structure allows them to be aggressively scaled while maintaining gate control and mitigating SCEs. However, continued scaling of FinFET devices requires concurrent improvements in photolithographic processes. Current lithography techniques may be limited, for example, in their alignment precision and repeatability of the equipment used (e.g., a photolithography stepper), as well as in the minimum feature size that may be printed. Thus, current lithography tools may not provide sufficient process margin, in particular when employing existing photolithography processes. As a result, FinFET critical dimensions (CDs) may be directly impacted by pattern misalignment, or other lithography errors, which can result in degraded device performance and/or device failure. Thus, existing techniques have not proved entirely satisfactory in all respects.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Additionally, throughout the present disclosure, the terms “mask”, “photomask”, and “reticle” may be used interchangeably to refer to a lithographic template, such as an EUV mask.
It is also noted that the present disclosure presents embodiments in the form of multi-gate transistors or fin-type multi-gate transistors referred to herein as FinFET devices. Such a device may include a P-type metal-oxide-semiconductor FinFET device or an N-type metal-oxide-semiconductor FinFET device. The FinFET device may be a dual-gate device, tri-gate device, bulk device, silicon-on-insulator (SOI) device, and/or other configuration. One of ordinary skill may recognize other examples of semiconductor devices that may benefit from aspects of the present disclosure. For example, some embodiments as described herein may also be applied to gate-all-around (GAA) devices, Omega-gate (Ω-gate) devices, or Pi-gate (Π-gate) devices.
The present disclosure relates to the patterning of a semiconductor substrate using one or more lithography processes. The techniques of the present disclosure apply equally to a wide range of lithographic techniques, as known in the art. For context, a photolithographic system suitable for use in implementing one such lithographic technique is described with reference to
In the embodiments described herein, the radiation source 102 may be used to generate the EUV light. In some embodiments, the radiation source 102 includes a plasma source, such as for example, a discharge produced plasma (DPP) or a laser produced plasma (LPP). In some examples, the EUV light may include light having a wavelength ranging from about 1 nm to about 100 nm. In one particular example, the radiation source 102 generates EUV light with a wavelength centered at about 13.5 nm. Accordingly, the radiation source 102 may also be referred to as an EUV radiation source 102. In some embodiments, the radiation source 102 also includes a collector, which may be used to collect EUV light generated from the plasma source and to direct the EUV light toward imaging optics such as the illuminator 104.
As described above, light from the radiation source 102 is directed toward the illuminator 104. In some embodiments, the illuminator 104 may include reflective optics (e.g., for the EUV lithography system 100), such as a single mirror or a mirror system having multiple mirrors in order to direct light from the radiation source 102 onto the mask stage 106, and particularly to the mask 108 secured on the mask stage 106. In some examples, the illuminator 104 may include a zone plate, for example, to improve focus of the EUV light. In some embodiments, the illuminator 104 may be configured to shape the EUV light passing therethrough in accordance with a particular pupil shape, and including for example, a dipole shape, a quadrapole shape, an annular shape, a single beam shape, a multiple beam shape, and/or a combination thereof. In some embodiments, the illuminator 104 is operable to configure the mirrors (i.e., of the illuminator 104) to provide a desired illumination to the mask 108. In one example, the mirrors of the illuminator 104 are configurable to reflect EUV light to different illumination positions. In some embodiments, a stage prior to the illuminator 104 may additionally include other configurable mirrors that may be used to direct the EUV light to different illumination positions within the mirrors of the illuminator 104. In some embodiments, the illuminator 104 is configured to provide an on-axis illumination (ONI) to the mask 108. In some embodiments, the illuminator 104 is configured to provide an off-axis illumination (OAI) to the mask 108. It should be noted that the optics employed in the EUV lithography system 100, and in particular optics used for the illuminator 104 and the projection optics 110, may include mirrors having multilayer thin-film coatings known as Bragg reflectors. By way of example, such a multilayer thin-film coating may include alternating layers of Mo and Si, which provides for high reflectivity at EUV wavelengths (e.g., about 13 nm).
As discussed above, the lithography system 100 also includes the mask stage 106 configured to secure the mask 108. Since the lithography system 100 may be housed in, and thus operate within, a high-vacuum environment, the mask stage 106 may include an electrostatic chuck (e-chuck) to secure the mask 108. As with the optics of the EUV lithography system 100, the mask 108 is also reflective. As illustrated in the example of
In some embodiments, the lithography system 100 also includes a pupil phase modulator 112 to modulate an optical phase of the EUV light directed from the mask 108, such that the light has a phase distribution along a projection pupil plane 114. In some embodiments, the pupil phase modulator 112 includes a mechanism to tune the reflective mirrors of the projection optics 110 for phase modulation. For example, in some embodiments, the mirrors of the projection optics 110 are configurable to reflect the EUV light through the pupil phase modulator 112, thereby modulating the phase of the light through the projection optics 110. In some embodiments, the pupil phase modulator 112 utilizes a pupil filter placed on the projection pupil plane 114. By way of example, the pupil filter may be employed to filter out specific spatial frequency components of the EUV light reflected from the mask 108. In some embodiments, the pupil filter may serve as a phase pupil filter that modulates the phase distribution of the light directed through the projection optics 110.
As discussed above, the lithography system 100 also includes the substrate stage 118 to secure the semiconductor substrate 116 to be patterned. In various embodiments, the semiconductor substrate 116 includes a semiconductor wafer, such as a silicon wafer, germanium wafer, silicon-germanium wafer, III-V wafer, or other type of wafer as known in the art. The semiconductor substrate 116 may be coated with a resist layer (e.g., an EUV resist layer) sensitive to EUV light. In the embodiments described herein, the various subsystems of the lithography system 100, including those described above, are integrated and are operable to perform lithography exposing processes including EUV lithography processes. To be sure, the lithography system 100 may further include other modules or subsystems which may be integrated with (or be coupled to) one or more of the subsystems or components described herein.
A technique for lithographic patterning, which may be performed using the lithography system 100 and/or any other suitable direct-write or photolithographic system is described below with reference to
The method 200 begins at block 202 where a substrate including a resist layer is provided. Referring to the examples of
As shown in the example of
The method 200 proceeds to block 204 where the resist 308 is patterned. Referring to block 204 and to
The method 200 proceeds to block 206 where mandrels are formed. Referring to block 206 and
The method 200 proceeds to block 208 where first spacers are formed. Referring to block 208 and to
One technique for forming the first spacer 602 fins on the sidewalls of the mandrels 306A, 306B, 306C includes depositing the material of first spacer 602 fins on the sacrificial layer 306 (i.e., over the patterned mandrels 306A, 306B, 306C) and on the material layer 304 by any suitable process including atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma-enhanced CVD (PE CVD), and/or other suitable deposition techniques. Removal of extraneous deposited first spacer 602 material from horizontal surfaces of the material layer 304 and from top surfaces of the mandrels 306A, 306B, 306C may be performed by an anisotropic etch process (e.g., plasma etch process). In this way, only those portions of the first spacer 602 material deposited on the sidewalls of the mandrels 306A, 306B, 306C remains. In various examples, the deposition thickness (e.g., of the first spacer 602 material) and the etching technique are tuned to control a width of the first spacer 602 fins (indicated as spacer one width ‘S1W’ in
The method 200 proceeds to block 210 where the mandrels are removed. Referring to block 210 and
The method proceeds to block 212 where second spacers are formed. Referring to block 212 and
It should be noted that although the illustrative ‘spacer merge’ process is described above with reference to the second spacers (e.g., second spacer 802A), it will be understood that such a spacer merge process may equally be applied to the first spacers 602. For example, at a particular mandrel spacing ‘MS’ between adjacent mandrels (
The method 200 proceeds to block 214 where the first spacers are removed. Referring to block 214 and
The method proceeds to block 216 where the second spacers are cut. Referring to block 216 and
The method proceeds to block 218 where third spacers are formed. Referring to block 218 and
The method 200 proceeds to block 220 where the second spacers are removed. Referring to block 220 and
The method proceeds to block 222 where the third spacers are cut. Referring to block 222 and
The method proceeds to block 224 where fin regions are formed as defined by the third spacers 902. Referring to the example of
It should be noted that each fin region 302A of each of the plurality of fin stacks 1402, like the substrate 302, may comprise silicon or another elementary semiconductor such as germanium (Ge), silicon carbide (SiC), silicon germanium (SiGe), or diamond. Alternatively, the fin region 302A may include a compound semiconductor and/or an alloy semiconductor. By way of example, in some embodiments, the fin region 302A may also include silicon phosphide (SiP), silicon phosphorus carbide (SiPC), a silicon-on-insulator (SOI) structure, a SiGe-on-SOI structure, a Ge-on-SOI structure, a III-VI material, or a combination of any of the above materials. Further, the fin region 302A may optionally include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or have other suitable enhancement features.
The device 300 may undergo further processing to form various features and regions known in the art. For example, subsequent processing may form shallow trench isolation (STI) features, may include one or more ion implantation processes (e.g., into the fin region 302A), may include formation of one or more epitaxially-grown layers (e.g., which may include doped layers), and may include formation of high-K/metal gate stacks. In addition, subsequent processing may include formation of sidewall spacers (e.g., on the high-K/metal gate stacks), source/drain features (e.g., epitaxially grown source/drain features), etch stop layer(s), interlayer dielectric (ILD) layer(s), contact openings, contact metal, as well as various contacts/vias/lines and multilayers interconnect features (e.g., metal layers and interlayer dielectrics) on the substrate 302, configured to connect the various features to form a functional circuit that may include one or more FinFET devices. In furtherance of the example, a multilayer interconnection may include vertical interconnects, such as vias or contacts, and horizontal interconnects, such as metal lines. The various interconnection features may employ various conductive materials including copper, tungsten, and/or silicide. In one example, a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure. Moreover, additional process steps may be implemented before, during, and after the method 200, and some process steps described above may be replaced or eliminated in accordance with various embodiments of the method 200.
Referring now to
Referring to
Referring to the example of
With respect to the description provided herein, the present disclosure offers methods for utilizing a hybrid lithographic patterning process which may include one or more of a triple spacer process, a spacer merge process, and a spacer cut process in the formation of FinFET devices to mitigate at least some of the problems associated with lithographic patterning of highly-scaled structures and devices. For example, current lithography techniques may be limited, for instance, in their alignment precision and repeatability of the equipment used (e.g., a photolithography stepper), as well as in the minimum feature size that may be printed. Thus, current lithography tools may not provide sufficient process margin, in particular when employing existing photolithography processes. As a result, FinFET critical dimensions (CDs) may be directly impacted by pattern misalignment, or other lithography errors, which can result in degraded device performance and/or device failure. By providing the disclosed triple spacer process, including methods for cutting the second and/or third spacers, as well as methods for merging one or both of the first and second spacers, embodiments of the present disclosure advantageously provide methods for increased layout flexibility, while also increasing the CD/overlay budget and improving overall process margin. Those of skill in the art will readily appreciate that the methods and structures described herein may be applied to a variety of other semiconductor devices to advantageously achieve similar benefits from such other devices without departing from the scope of the present disclosure.
Thus, one of the embodiments of the present disclosure described a method for fabricating a semiconductor device, for example, such as a FinFET device. In some embodiments, the method includes forming a plurality of first spacers over a substrate. Each first spacer of the plurality of first spacers has a first spacer width. In some examples, a second spacer of a plurality of second spacers is deposited on sidewalls of each first spacer of the plurality of first spacers. Each second spacer of the plurality of second spacers has a second spacer width. In some embodiments, a third spacer of a plurality of third spacers is formed on sidewalls of each second spacer of the plurality of second spacers. Each third spacer of the plurality of third spacers has a third spacer width. In various embodiments, a first etch process is performed on the substrate to form fin regions within the substrate. By way of example, the plurality of third spacers mask portions of the substrate during the first etch process, and a fin region width is substantially equal to about the third spacer width.
In another of the embodiments, discussed is a method where a plurality of mandrels are formed over a substrate, and a pair of first spacers each having a first spacer width are formed on sidewalls of each mandrel of the plurality of mandrels. Thereafter, the plurality of mandrels are etched, and a pair of second spacers each having a second spacer width are formed on sidewalls of each first spacer. In some embodiments, the first spacers are removed, and a pair of third spacers each having a third spacer width are formed on sidewalls of each second spacer. In various examples, the second spacers are etched, and a first etch process is performed to form fin regions within the substrate, where the third spacers mask portions of the substrate during the first etch process. By way of example, a fin region width is substantially equal to about the third spacer width.
In yet another of the embodiments, discussed is a method of fabricating a semiconductor device including fabricating mandrels over a substrate, where the mandrels define a pattern for subsequently formed first spacers. In some embodiments, the first spacers are formed on sidewalls of the mandrels, where the first spacers define a pattern for subsequently formed second spacers. In various examples, the mandrels are removed, and second spacers are formed on sidewalls of the first spacers. Thereafter, the first spacers are etched, and a second spacer cut process is performed to remove a first set of second spacers and leave a second set of second spacers. In some embodiments, third spacers are formed on sidewalls of the second set of second spacers. The second set of second spacers is etched, and a third spacer cut process is performed to remove a first set of third spacers and leave a second set of third spacers. In some examples, a substrate etch process is performed to form fin regions within the substrate, where the second set of third spacers mask portions of the substrate during the substrate etch process.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Liu, Ru-Gun, Chen, Chun-Kuang, Lai, Chih-Ming, Gau, Tsai-Sheng, Lin, Huan-Just, Hung, Chi-Cheng, Lin, Wei-Liang, Tseng, Chin-Yuan
Patent | Priority | Assignee | Title |
11282835, | May 16 2019 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
11302532, | Mar 02 2020 | International Business Machines Corporation | Self-aligned double patterning with spacer-merge region |
11670636, | May 16 2019 | Samsung Electronics Co., Ltd. | Method for fabricating semiconductor device |
11749529, | Mar 02 2020 | International Business Machines Corporation | Self-aligned double patterning with spacer-merge region |
9553026, | Nov 10 2015 | United Microelectronics Corp. | Semiconductor device and method for fabricating the same |
Patent | Priority | Assignee | Title |
8039179, | Jan 20 2009 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit layout design |
8202681, | Aug 22 2008 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid multi-layer mask |
8728332, | May 07 2012 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of patterning small via pitch dimensions |
8822243, | Sep 29 2011 | Toshiba Corporation | Light emitting devices having light coupling layers with recessed electrodes |
20060091468, | |||
20070065990, | |||
20110281208, | |||
20120278776, | |||
20130295769, | |||
20130320451, | |||
20140193974, | |||
20140215421, | |||
20140242794, | |||
20140264760, | |||
20140264899, | |||
20140273442, | |||
20140273446, |
Executed on | Assignor | Assignee | Conveyance | Frame | Reel | Doc |
Feb 26 2015 | Taiwan Semiconductor Manufacturing Company, Ltd. | (assignment on the face of the patent) | / | |||
Jun 24 2015 | TSENG, CHIN-YUAN | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | HUNG, CHI-CHENG | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | CHEN, CHUN-KUANG | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | LAI, CHIH-MING | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | LIN, HUAN-JUST | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | LIU, RU-GUN | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | GAU, TSAI-SHENG | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 | |
Jun 24 2015 | LIN, WEI-LIANG | Taiwan Semiconductor Manufacturing Company, Ltd | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 039136 | /0503 |
Date | Maintenance Fee Events |
Mar 05 2020 | M1551: Payment of Maintenance Fee, 4th Year, Large Entity. |
Mar 06 2024 | M1552: Payment of Maintenance Fee, 8th Year, Large Entity. |
Date | Maintenance Schedule |
Sep 20 2019 | 4 years fee payment window open |
Mar 20 2020 | 6 months grace period start (w surcharge) |
Sep 20 2020 | patent expiry (for year 4) |
Sep 20 2022 | 2 years to revive unintentionally abandoned end. (for year 4) |
Sep 20 2023 | 8 years fee payment window open |
Mar 20 2024 | 6 months grace period start (w surcharge) |
Sep 20 2024 | patent expiry (for year 8) |
Sep 20 2026 | 2 years to revive unintentionally abandoned end. (for year 8) |
Sep 20 2027 | 12 years fee payment window open |
Mar 20 2028 | 6 months grace period start (w surcharge) |
Sep 20 2028 | patent expiry (for year 12) |
Sep 20 2030 | 2 years to revive unintentionally abandoned end. (for year 12) |