One embodiment of the instant disclosure provides a transistor device that comprises: a semiconductor substrate; a buffer layer formed in a fin structure over the semiconductor substrate; a nanowire formed over the buffer layer, having at least a middle portion suspended over the buffer layer by an undercutting, the nanowire including a source and a drain region respectively defined at distal portions thereof and a channel region defined in the suspended portion of the nanowire and connecting the source and drain regions; and a gate structure surrounding at least a portion of the suspended portion of the nanowire.

Patent
   9472618
Priority
Dec 10 2013
Filed
Aug 10 2015
Issued
Oct 18 2016
Expiry
Dec 10 2033
Assg.orig
Entity
Large
393
12
EXPIRING-grace
17. A transistor device comprising:
a semiconductor substrate;
a buffer layer formed over the semiconductor substrate;
a nanowire formed over the buffer layer, and including a channel region, and a source region and a drain region connected by the channel region;
a gate region surrounding the nanowire; and
a remnant of a sacrificial layer between the buffer layer and the nanowire, wherein the gate region and the remnant of the sacrificial layer define a distance l therebetween and the distance l is such that a potential barrier between the source region or the drain region and the buffer layer is higher than an operation voltage of the transistor device.
1. A transistor device, comprising:
a semiconductor substrate;
a buffer layer formed over the semiconductor substrate;
a nanowire formed over the buffer layer and having a pair of distal portions, the nanowire including
source and drain regions respectively defined at the distal portions of the nanowire and
a channel region connecting the source and drain regions of the nanowire;
a gate structure surrounding the nanowire; and
a remnant of a sacrificial layer between the buffer layer and the nanowire, wherein the gate structure and the remnant of the sacrificial layer define a distance l therebetween and the distance l determines a degree to which areas of the source region or the drain region are electrically isolated from the buffer layer.
18. A transistor device comprising:
a semiconductor substrate;
a buffer layer formed over the semiconductor substrate;
a nanowire formed over the buffer layer, and including a channel region, and a source region and a drain region connected by the channel region; and
a gate surrounding the nanowire and including a high-k dielectric layer, wherein a ratio of the thickness of the high-k dielectric layer to the width of the channel region is such that a potential barrier between the source region or the drain region and the buffer layer is higher than an operation voltage of the transistor device;
a remnant of a non-silicon semiconductor layer between the buffer layer and the nanowire, wherein the gate structure and the remnant of the non-silicon semiconductor layer define a distance l therebetween and the distance l determines a degree to which areas of the source region or the drain region are electrically isolated from the buffer layer.
2. The device of claim 1, wherein the gate structure includes
a gate dielectric layer surrounding the channel region of the nanowire,
a gate metal layer disposed over the gate dielectric layer, and
a gate spacer disposed over the the nanowire.
3. The device of claim 2, wherein a ratio of the thickness of the gate dielectric layer to the width of the channel region is such that a potential barrier between the source region or the drain region and the buffer layer is higher than an operation voltage of the transistor device.
4. The device of claim 2, wherein the gate metal layer fully surrounds the gate dielectric layer.
5. The device of claim 2, wherein the gate dielectric layer and the gate metal layer substantially fill a gap under the channel region of the nanowire.
6. The device of claim 1, wherein the distance l is such that a potential barrier between the source region or the drain region and the buffer layer is higher than an operation voltage of the transistor device.
7. The device of claim 1, further comprising another remnant of the sacrificial layer, wherein the gate structure is defined between the remnants of the sacrificial layer.
8. The device of claim 1, wherein the remnant of the sacrificial layer comprises a group III-V semiconductor material.
9. The device of claim 8, wherein the group III-V semiconductor material includes InP, GaSb, InxAl1-xAs, AlAsxSb1-x, or InxGa1-xAsySb1-y.
10. The device of claim 1, wherein the remnant of the sacrificial layer comprises a group IV semiconductor material.
11. The device of claim 10, wherein the group IV semiconductor material includes Ge.
12. The device of claim 1, wherein the semiconductor substrate is a silicon substrate and the nanowire comprises a non-silicon semiconductor material.
13. The device of claim 12, wherein the non-silicon semiconductor material is a group III-V semiconductor material.
14. The device of claim 13, wherein the group III-V semiconductor material includes InAs, InxGa1-xAs, InSb, InAsxSb1-x, or InxGa1-xSb.
15. The device of claim 12, wherein the non-silicon semiconductor material is a group IV semiconductor material.
16. The device of claim 15, wherein the group IV semiconductor material includes SixGe1-x or Ge.

This application is a divisional application of U.S. patent application Ser. No. 14/101,715, now U.S. Pat. No. 9,136,332, entitled “METHOD FOR FORMING A NANOWIRE FIELD EFFECT TRANSISTOR DEVICE HAVING A REPLACEMENT GATE,” filed Dec. 10, 2013, which is incorporated herein by reference in its entirety.

The technology described in this disclosure relates generally to nanowire-based devices and more particularly to nanowire-based field effect transistors (FETs) and techniques for the fabrication thereof.

Gate all around (GAA) nanowire channel field effect transistors (FETs) may enable feature scaling beyond current planar complementary-metal-oxide semiconductor (CMOS) technology. Nanowire channel FETs may also be of interest due to their electrostatics, which may be superior to those of conventional FET devices. The fabrication of nanowire channel FETs may include generating a collection of nanowires and placing them where desired (e.g., a bottom-up approach) or may include various lithographic patterning procedures (e.g., a top-down approach).

FIGS. 1A, 1B, and 1C depict example steps that may be used in fabricating a gate all around (GAA), lateral nanowire field effect transistor (FET).

FIG. 2 depicts a patterned semiconductor substrate, where the patterned semiconductor substrate may include a fin structure surrounded by shallow trench isolation (STI) material.

FIGS. 3 and 4 depict an exemplary trench that may be formed by etching the fin structure.

FIG. 5 depicts example epitaxial layers formed substantially over the semiconductor substrate.

FIG. 6 depicts an example etching of the STI material, where the etching may be used to expose a channel layer and a etch layer.

FIGS. 7 and 8 depict additional example structures that may be formed by etching the STI material.

FIGS. 9, 10, and 11 depict the formation of an example dummy gate substantially over the channel layer of the FET, where spacer material may be formed at ends of the example dummy gate.

FIGS. 12, 13, and 14 depict an interlayer dielectric (ILD) layer that may be formed substantially over the FET structure.

FIGS. 15, 16, and 17 depict a removal of the example dummy gate.

FIGS. 18, 19, and 20 depict an example etching of the etch layer.

FIGS. 21 and 22 depict further aspects of the example etching of the etch layer, where an etch time is varied to control an amount of etch undercutting beneath source and drain regions of the FET.

FIGS. 23, 24, and 25 depict an example high-k dielectric layer that is deposited over a portion of the nanowire, where the high-k dielectric layer may form a first portion of a replacement gate.

FIGS. 26, 27, and 28 depict an example metal gate layer that is deposited over the portion of the nanowire, where the metal gate layer may form a second portion of the replacement gate.

FIGS. 29, 30, and 31 depict an alternative embodiment of the example GAA, lateral nanowire FET structure, where the alternative embodiment may use a thin etch layer to form a tri-gate structure.

FIGS. 32, 33, and 34 depict example source and drain regions of the GAA, lateral nanowire FET.

FIGS. 35, 36, and 37 depict example source and drain regions, where the example source and drain regions may be formed in an alternative embodiment of the GAA, lateral nanowire FET.

FIGS. 38, 39, and 40 depict second example source and drain regions of the GAA, lateral nanowire FET.

FIGS. 41, 42, and 43 depict second example source and drain regions, where the second example source and drain regions may be formed in an alternative embodiment of the GAA, lateral nanowire FET.

FIGS. 44-49 depict example material system options for a GAA, lateral nanowire FET.

FIGS. 50-53 depict additional example material system options for a GAA, lateral nanowire FET.

FIG. 54 is a flowchart illustrating an example method for forming a nanowire field effect transistor (FET) device.

FIGS. 1A, 1B, and 1C depict example steps that may be used in fabricating a gate all around (GAA), lateral nanowire field effect transistor (FET). As illustrated in FIG. 1A at 120, a fin structure may be disposed substantially over a semiconductor substrate 110. The semiconductor substrate may include silicon, SiGe, Ge, or a III-V semiconductor such as InP, InAs, AlAs, AlP, or GaAs. The fin structure may include a buffer layer 108 formed substantially over the semiconductor substrate 110, an etch layer 104 (e.g., a sacrificial layer) formed substantially over the buffer layer 108, and a channel layer 102 formed substantially over the etch layer 104. The layers 102, 104, 108 may be provided via an epitaxial growth process. In an example, the channel layer 102 and/or the etch layer 104 may comprise non-silicon semiconductor materials, such that the buffer layer 108 may be provided to compensate for a lattice mismatch between the semiconductor substrate 110 and the channel layer 102 and/or the etch layer 104 (e.g., in cases where the semiconductor substrate 110 is silicon).

The channel layer 102 may comprise a non-silicon nanowire that is used to provide a channel region of the lateral nanowire FET. For example, FIGS. 1A, 1B, and 1C may depict cross-sectional views of different stages in the fabrication of the lateral nanowire FET, where the cross-sectional views are taken at a gate region of the FET. Thus, a length of the nanowire 102 may extend a distance in the y-direction (i.e., into the page), and source and drain regions of the FET (not depicted in the cross-sectional views of FIGS. 1A, 1B, and 1C) may be connected by the nanowire 102. In the example of FIGS. 1A, 1B, and 1C, the fin structure including the channel layer 102, the etch layer 104, and the buffer layer 108 may be surrounded by shallow trench isolation (STI) material 106. The STI material 106 may include an oxide material or another type of material configured to provide electrical isolation between adjacent fin structures or other structures fabricated over the substrate 110.

At 140 of FIG. 1B, the etch layer 104 may be etched. In an example, the etching may be performed following a removal of a dummy gate structure that is deposited around a portion of the nanowire 102. In this example, the removal of the dummy gate structure may expose the portion of the nanowire 102 and the sacrificial layer 104 thereunder (i.e., a portion of the sacrificial layer 104 that is directly below the portion of the nanowire 102), such that the exposed portion of the etch layer 104 may thereafter be etched. The etching may be selective to the etch layer 104, such that the channel layer 102 is not etched, and the buffer layer 108 is also not etched a significant amount. As illustrated at 140 of FIG. 1B, the etching may cause a portion of the nanowire 102 to be suspended over the semiconductor substrate 110.

At 160 of FIG. 1C, a replacement gate that surrounds the portion of the nanowire 102 may be formed. As described above, the fabrication of the lateral nanowire FET may involve the use of the dummy gate structure, and the replacement gate may be used to replace the dummy gate structure following the removal of the dummy gate structure. The replacement gate may be formed using an example HKMG process (i.e., a high-k dielectric, metal gate process). In the example HKMG process, a first high-k dielectric layer 112 and a metal gate layer 114 may be deposited in a conformal manner over all sides of the nanowire 102, as depicted in FIG. 1C. In an example, the conformal deposition may be provided using an atomic layer deposition (ALD) process. Following the conformal deposition, the nanowire 102 may no longer be suspended over the semiconductor substrate.

FIG. 1C further depicts a second high-k dielectric layer 116 that may be deposited substantially over the STI material 106 and the buffer layer 108. The second high-k dielectric layer 116 may be formed during the deposition of the first high-k dielectric layer 112. For example, in depositing the first high-k dielectric layer 112 to coat the sides of the nanowire 102, high-k dielectric material may also be deposited over the STI material 106 and the buffer layer 108, thus forming the layer 116. As described in further detail below, the second high-k dielectric layer 116 may help to prevent the gate region of the FET from shorting to the buffer layer 108, which may reduce gate leakage current.

In the end-product device structure depicted at 160 of FIG. 1C, the channel region of the lateral nanowire FET, provided by the nanowire 102, may be electrically isolated from the semiconductor substrate 110. The electrical isolation may be a consequence of i) the selective etching of the etch layer 104, which may physically isolate the channel layer 102 from the bulk substrate (i.e., as depicted at 140 of FIG. 1B), and ii) the replacement HKMG process that may provide the gate all around structure, where the gate all around structure may comprise the layers 112, 114, 116 surrounding the channel layer 102 (i.e., as depicted at 160 of FIG. 1C).

As noted above, the channel layer 102 may be a non-silicon semiconductor material that is provided via an epitaxial growth process. The non-silicon semiconductor material used for the channel layer 102 may include, for example, compound group III-V semiconductors, group IV semiconductors, or other materials. Such compound group III-V semiconductors or group IV semiconductors may comprise high mobility channel materials and may be used to replace silicon nanowires used in conventional nanowire FET devices. The replacement of the silicon nanowires with the high mobility semiconductor materials may be complicated by various issues, however. For example, the high mobility channel materials having a different lattice parameter to silicon may be highly defective at the epitaxially formed interface with silicon. Further, implant doping to create an isolation well may not be a simple matter in the group III-V and IV semiconductors, and bulk-like or buffer layers of high mobility semiconductors may be conductive, thus leading to unwanted source-drain leakage currents.

The lateral nanowire field effect transistor (FET) described above with reference to FIGS. 1A, 1B, and 1C may address the issues described above. By growing the epitaxial etch layer 104 under the channel layer 102 and subsequently removing the etch layer 104 via a selective etch technique, the FET may have a high crystalline quality channel material that is electrically isolated from the bulk substrate. The nanowire 102 may be combined with the HKMG process described above to make the GAA finFET structure, and the GAA finFET structure may be compatible with VLSI design rules and various processing requirements (e.g., International Technology Roadmap for Semiconductors (ITRS) specifications). The GAA finFET structure may be used to fabricate group III-V or IV finFET devices with good electrostatic control and low leakage, as described in further detail below. The structure and method described herein may be equally applicable to NMOSFET and PMOSFET technologies.

FIGS. 2-31 depict example steps in forming a GAA lateral nanowire FET device. FIG. 2 depicts a patterned semiconductor substrate 202, where the patterned semiconductor substrate 202 may include a fin structure 203. The fin structure 203 may be surrounded by shallow trench isolation (STI) material 204. The STI material 204 may include an oxide material or another type of material configured to provide electrical isolation between adjacent fin structures or other structures fabricated over the substrate 202.

FIGS. 3 and 4 depict an exemplary trench 205 that may be formed by etching the fin structure 203. As illustrated at 270 of FIG. 3, a depth (“d”) of the trench 205 may be equal to a thickness of the STI material 204. Alternatively, as illustrated at 275 of FIG. 4, the depth of the trench 205 may comprise only a percentage of the thickness of the STI material 204. For example, the depth of the trench 205 may be equal to one third of the thickness of the STI material 204 or one half of the thickness of the STI material 204. As illustrated in the examples at 270 and 275, a profile of the bottom of the trench 205 may be flat, rounded, or V-shaped, among other geometries.

FIG. 5 depicts example epitaxial layers 206, 208, 210 formed substantially over the semiconductor substrate 202. The example epitaxial layers may include a channel layer 206, an etch layer 208 (i.e., a sacrificial layer), and a buffer layer 210. The layers 206, 208, 210 may be provided via one or more epitaxial growth processes. The channel layer 206 may include a non-silicon nanowire that is used to provide a channel region of the GAA, lateral nanowire FET. In an example, the etch layer 208 may comprise a non-silicon semiconductor material (e.g., a group III-V semiconductor material), such that the buffer layer 210 may be provided to compensate for a lattice mismatch between the semiconductor substrate 202 and the etch layer 208. The one or more epitaxial processes used to grow the layers 206, 208, 210 may be combined with various other processing techniques (e.g., cleaning processes, recess processes, or chemical mechanical polishing (CMP) processes, etc.) to achieve the structure depicted in FIG. 5.

A thickness of the channel layer 206 (i.e., “tchannel”) may be within a range of approximately 5 nm to 30 nm. A thickness of the etch layer 208 (i.e., “tetch”) may be within a range of approximately 1 nm to 15 nm. A thickness of the buffer layer 210 (i.e., “tbuffer”) may be within a range of approximately 20 nm to 300 nm. Such thicknesses are exemplary only, and the layers 206, 208, 210 may be fabricated to various other thicknesses. In an example, the thickness tetch may be one to fifteen times smaller than the thickness tchannel, which may cause the etch layer 208 to comprise a strained layer. The use of a strained layer for the etch layer 208 may increase a number of potential material systems that may be used in fabricating the etch layer 208. Because the etch layer 208 is a sacrificial layer that is removed via an etch process, the increased number of potential material systems may allow a greater etch selectivity to be engineered.

FIG. 6 depicts an example etching of the STI material 204, where the etching may be used to expose the channel layer 206 and the etch layer 208. The STI material 204 may be etched until the etch layer 208 is fully exposed or partially exposed. Further, the STI material 204 may be over-etched or under-etched, as illustrated in FIGS. 7 and 8. In FIG. 7, at 280, the STI material 204 may have been over-etched, such that a thickness Δd of the buffer layer 210 is exposed at a top surface of the STI material 204. In FIG. 8, at 285, the STI material 204 may have been under-etched, such that a thickness Δd of the etch layer 208 is recessed within the STI material 204. Other methods of achieving the structures depicted in FIGS. 6-8 may be used.

FIGS. 9, 10, and 11 depict the formation of an example dummy gate 212 substantially over the channel layer 206 of the FET, where an extension 214 may be formed at ends of the example dummy gate 212. In FIGS. 9 and 10, a “gate cross-section” and an “extension cross-section” may be depicted, respectively. Cut-lines illustrating a location of the gate cross-section and the extension cross-section may be illustrated in FIG. 11, which illustrates the structure rotated by 90 degrees (e.g., as evidenced by the x/y/z axes depicted in the different portions of FIGS. 9 and 11). In the gate cross-section, the dummy gate 212 may be formed substantially over the channel layer 206 and the etch layer 208, such that the dummy gate 212 surrounds three sides of the channel layer 206 and two sides of the etch layer 208. The portion of the channel layer 206 over which the dummy gate 212 is formed may comprise a nanowire channel region of the lateral nanowire FET, where the nanowire channel region connects source and drain regions of the FET.

In the extension cross-section, the extension 214 may be formed substantially over the channel layer 206 and the etch layer 208, such that the extension 214 surrounds three sides of the channel layer 206 and two sides of the etch layer 208. The extension 214 may comprise a spacer material, and the spacer material may be, for example, a nitride spacer, an oxide spacer, or another type of spacer material. The spacer material may be used to maintain integrity of the gate region or other portions of the semiconductor structure throughout the fabrication process (e.g., to reduce interaction with aggressive chemicals that may be used in further processing). Following the formation of the dummy gate 212 and the extension 214, source and drain regions of the FET may be formed. In the interest of clarity, the source and drain regions may not be depicted in FIGS. 9-31. Reference is made to FIGS. 32-34, described in further detail below, which may depict exemplary source and drain regions.

Similar to FIGS. 9-11, FIGS. 12-31 may depict a gate cross-sectional view, an extension cross-sectional view, and a third view of the structure that is rotated by 90 degrees (i.e., where the gate cross-sectional view, the extension cross-sectional view, and the third view are ordered as such from left to right). FIGS. 12, 13, and 14 depict an interlayer dielectric (ILD) layer 216 that may be formed substantially over the FET structure. In FIGS. 12, 13, and 14, the ILD layer 216 may be formed over portions of the channel layer 206 that are not covered by the dummy gate 212 or the extension 214.

FIGS. 15, 16, and 17 depict a removal of the example dummy gate 212. As depicted in FIGS. 15, 16, and 17, the removal of the example dummy gate 212 may create an opening 218 (e.g., an etch window), and the opening 218 may expose a portion of the channel layer 206 and a portion of the etch layer 208.

FIGS. 18, 19, and 20 depict an example etching of the etch layer 208. In etching the etch layer 208, the opening 218 formed by the removal of the dummy gate 212 may expose the portion of the etch layer 208 to an etchant, thus facilitating the etching. A wet etchant (i.e., an aqueous etchant) or a dry etchant (e.g., a reactive ion etch (RIE) etchant) may be used to selectively remove the etch layer 208 without removing the channel layer 206. Example wet etchants that may be used include HCl, C6H8O7, and NH4OH, among others. Example dry etchants that may be used include HCl, SiCl4, SF6, BCl3, or Cl2, among others. The selectivity of the etching may also enable the buffer layer 210 to not be substantially etched, or the buffer layer 210 may be partially removed by the etching. Thus, the etchant may have a property that enables a high etch rate for the etch layer 208 and a low etch rate for the channel layer 206 and the buffer layer 210.

As illustrated in FIGS. 18, 19, and 20, the etching causes the portion of the nanowire 206 to be suspended over the semiconductor substrate 202. The portion of the nanowire 206 that is suspended may comprise the channel region of the GAA, lateral nanowire FET. The suspended nanowire 206 may be anchored at each end by the extension 214 (i.e., the spacer material) and the ILD layer 216.

The etching of the etch layer 208 may be understood as including multiple aspects. In a first aspect of the etching, a first portion of the etch layer 208 may be etched, where the first portion may comprise the portion of the etch layer 208 that is directly exposed to the etchant via the opening 218. In a second aspect of the etching, a second portion of the etch layer 208 may be etched, where the second portion may comprise a portion of the etch layer 208 that is removed via etch undercutting. The portion of the etch layer 208 that may be removed via the etch undercutting may be characterized by a distance L, as depicted in FIGS. 18, 19, and 20. The etch undercutting may occur under the source and drain regions of the FET (not depicted in FIGS. 18, 19, and 20), and the distance L may be the distance over which the etch undercutting extends beneath the source or drain region. The portion of the etch layer 208 that is removed via the etch undercutting may not be directly exposed to the etchant.

FIGS. 21 and 22 depict further aspects of the example etching of the etch layer 208, where an etch time is varied to control an amount of etch undercutting beneath the source and drain regions of the FET. In FIGS. 18-22, the distance L over which the etch undercutting extends beneath the source or drain region may be determined based on an amount of time used in etching the etch layer 208. By varying the etch time, the undercut length L may be varied to achieve a desired effect, with limiting cases being L=0 (i.e., no etch undercutting beneath the source and drain regions, as depicted in FIG. 21 at 290) and L=LS/D, where LS/D may be equal to a length of the source or drain region of the FET (i.e., as depicted in FIG. 21 at 295). A minimization of processing time may be desired, and to achieve this desired effect, the etch time may be engineered to cause L=0. Alternatively, it may be desirable to minimize source-to-drain leakage by removing semiconductor material that connects the source and drain regions, and to achieve this desired effect, the etch time may be engineered to cause L=LS/D. In controlling the source-to-drain leakage based on the distance L, the distance L may determine a degree to which areas of the source or drain region are electrically isolated from the buffer layer 210, or the distance L may determine a potential barrier between the source/drain region and the buffer layer, which is higher than an operation voltage of the FET. In the embodiment to achieve this desired effect, the etch time may be engineered to cause 0≦L≦Lchannel, where Lchannel is the channel length. And in another embodiment to achieve this desired effect, the etch time may be engineered to cause Lchannel≦L≦LS/D.

FIGS. 23, 24, and 25 depict an example high-k dielectric layer 220 that is deposited over a portion of the nanowire, where the high-k dielectric layer 220 may form a first portion of a replacement gate. The high-k dielectric layer 220 may be deposited in a conformal manner, such that the high-k dielectric layer 220 may surround all sides of the nanowire 206. The conformal deposition may be achieved using an atomic layer deposition (ALD) process. The high-k dielectric layer 220 may be Al2O3, HfO2, La2O3, or ZrO2, among others. A process temperature used in the deposition of the high-k dielectric layer 220 may be within a range of approximately 100-300 degrees Celsius.

As illustrated in FIGS. 23, 24, and 25, the conformal deposition may further cause the high-k dielectric layer 220 to be formed over the STI material 204, the buffer layer 210, and on sidewalls of the etched portion of the etch layer 208. The high-k dielectric layer 220 that is deposited on the buffer layer 210 and the sidewalls of the etched portion of the etch layer 208 may prevent a gate region of the FET from shorting i) to source and drain regions of the FET, and/or ii) to the buffer layer 210. The high-k dielectric layer 220 deposited in these areas may thus be configured to reduce gate leakage.

FIGS. 26, 27, and 28 depict an example metal gate layer 222 that is deposited over the portion of the nanowire, where the metal gate layer 222 may form a second portion of the replacement gate. The metal gate layer 222 may be deposited in a conformal manner, such that the metal gate layer 222 may surround all sides of the nanowire 206. As illustrated in FIGS. 26, 27, and 28, following the deposition of the metal gate layer 222, the nanowire 206 may no longer be suspended over the semiconductor substrate 202. The conformal deposition may be achieved using an ALD process. As illustrated in FIGS. 26, 27, and 28, the conformal deposition may further cause the metal gate layer 222 to be deposited in the cavity that is formed in the etch layer 208. The metal gate layer 222 may include TiN, TaN, Pd, or Pt, among others. The structure depicted in FIGS. 26, 27, and 28 may be an example end product device structure for a first embodiment of the example GAA, lateral nanowire FET structure described herein.

FIGS. 29, 30, and 31 depict a second embodiment of the example GAA, lateral nanowire FET structure, where the second embodiment may use a thin etch layer 208 to form a tri-gate structure. The structure depicted in FIGS. 29, 30, and 31 may be an example end product device structure for the second embodiment. In FIGS. 29, 30, and 31, the etch layer 208 may have a thickness that is less than twice the thickness of the high-k dielectric layer 220. Using the thin etch layer 208, a gap between the channel layer 206 and the buffer layer 210 may be closed during the deposition of the high-k dielectric layer 220, such that the metal gate layer 222 may not be able to completely surround the nanowire 206. In this example, the device may utilize the tri-gate structure, where the channel region of the channel layer 206 is isolated from the bulk (e.g., the buffer layer 210) by the high-k dielectric layer 220. In another embodiment, a ratio of the thickness of the high-k dielectric layer to the thickness of a diameter of the nanowire determined by the channel region of the channel layer is between about ⅓ to 1, such that it may be desirable to minimize source-to-drain leakage by removing semiconductor material that connects the source and drain regions. In controlling the source-to-drain leakage based on the ratio, the ratio may determine a potential barrier between the source/drain region and the buffer layer higher than an operation voltage of the FET.

FIGS. 32, 33, and 34 depict example source/drain regions 224 of the GAA, lateral nanowire FET. FIGS. 32-43 include layers similar to those described above, with reference to FIGS. 2-31, and reference numbers for the similar layers are carried over from the earlier figures. The similar layers included in FIGS. 32-43 are not described in further detail herein, and reference is made to the descriptions for FIGS. 2-31 above. In FIGS. 32, 33, and 34, the source/drain regions 224 may be described as “source/drain junctions,” as illustrated in the figures. The source/drain regions 224 may be formed in the channel layer 206, and the source/drain regions 224 may be (a) ion implanted, (b) metal-semiconductor compounds, (c) a combinations of (a) and (b), or (d) both of (a) and (b). The source/drain regions 224 may be formed after the deposition of the spacer material 214 in the replacement gate flow process. FIGS. 35, 36, and 37 may be similar to FIGS. 32, 33, and 34, and may depict the formation of the source/drain junctions 224 in the second embodiment of the example GAA, lateral nanowire FET structure (e.g., the second embodiment described above with reference to FIGS. 29-31).

In FIGS. 32 and 33, an “etch layer removed” cross-section and an “etch layer not removed” cross-section may be depicted, respectively. Cut-lines illustrating the locations of these cross-sections may be illustrated in FIG. 34, which illustrates the structure rotated by 90 degrees (e.g., as evidenced by the x/y/z axes depicted in the different portions of FIGS. 32 and 34). At the “etch layer removed” cross-section, the source/drain region 224 may be electrically isolated from the buffer layer 210. By contrast, at the “etch layer not removed” cross-section, the source/drain region 224 may not be isolated from the buffer layer 210. As described above, a distance L over which the etch undercutting extends beneath the source/drain regions 224 may be determined based on an amount of time used in etching the etch layer 208. The distance L may thus determine a degree to which areas of the source/drain regions 224 are electrically isolated from the buffer layer 210. Further, an amount of leakage current between the source region and the drain region that flows through the buffer layer 210 may be determined based on the distance L.

FIGS. 38-40 may be similar to FIGS. 32-34, respectively. In FIGS. 38-40, second example source/drain regions 226 of the FET are formed. The second source/drain regions 226 may be formed via an epitaxial process that occurs after the deposition of the spacer material 214. FIGS. 41-43 may be similar to FIGS. 38-40 and may depict the formation of the second source/drain regions 226 in the second embodiment of the example GAA, lateral nanowire FET structure (e.g., the second embodiment described above with reference to FIGS. 29, 30, and 31). In an example, the epitaxial source/drain regions 226 may be combined with the source/drain junctions 224 of FIGS. 32-37.

FIGS. 44-49 depict example material system options for a GAA, lateral nanowire FET. Group III-V semiconductor material system options for the buffer layer may include InAs, InP, or GaSb. Group III-V semiconductor material system options for the etch layer may include InP or GaSb (e.g., strained on InAs), InxAl1-xAs (0.5≦x≦1.0), AlAsxSb1-x, or InxGa1-xAsySb1-y. Group III-V semiconductor material system options for the channel layer may include InxGa1-xAs (0.5≦x≦1.0), InAsySb1-y (0.6≦y≦1.0), or InxGa1-xSb (0.0≦x≦0.5).

Group IV semiconductor material system options for the buffer layer may include Si, SixGe1-x (0.0≦x≦1.0), or Ge. Group IV semiconductor material system options for the etch layer may include Ge (e.g., Ge strained on Si). Further, an AlAsyP1-y (0.0≦y≦1.0, optionally lattice matched to the buffer) etch layer that is grown between group IV layers may be used. Group IV semiconductor material system options for the channel layer may include Si, SixGe1-x (0.0≦x≦0.5), or SixGe1-x (0.0≦x≦1.0).

FIGS. 50-53 depict additional example material system options for a GAA, lateral nanowire FET. Specifically, FIGS. 50-53 may illustrate the use of dopant selective etching (DSE). In DSE, the nanowire and the etch layer may both comprise a same material. The nanowire may have a first doping level that is different than a second doping level of the etch layer. In one example, the etch layer may be doped more heavily than the nanowire. The DSE process may be used to selectively remove the etch layer based on the differences in the doping levels between the nanowire and the etch layer. DSE may similarly be used to achieve etch selectivity between the etch layer and the buffer layer.

As illustrated in FIGS. 50-53, for Group IV semiconductor materials, the buffer layer may be Si, the etch layer may be SixGe1-x that is heavily doped, and the channel layer may be Si or SixGe1-x. Alternatively, for Group IV semiconductor materials, the buffer layer may be SixGe1-x (0.0≦x≦1.0), the etch layer may be SixGe1-x that is heavily doped, and the channel layer may be SixGel, (0.0≦x≦1.0). For Group III-V semiconductor materials, the buffer layer may be InP, the etch layer may be a heavily doped InxGa1-xAs layer that may be lattice matched to the buffer layer, and the channel layer may be InxGa1-xAs (0.5≦x≦1.0). Alternatively, for Group III-V semiconductor materials, the buffer layer may be InAs or GaSb, the etch layer may be a heavily doped InAsySb1-y or InxGa1-xSb layer that may be lattice matched to the buffer layer, and the channel layer may be InAsySb1-y (0.6≦y≦1.0) or InxGa1-xSb (0.0≦x≦0.5).

FIG. 54 is a flowchart 600 illustrating an example method for forming a nanowire field effect transistor (FET) device. At 602, a sacrificial layer is provided over a semiconductor substrate. At 604, a nanowire is formed over the sacrificial layer via an epitaxial process. At 606, a dummy gate is formed around a portion of the nanowire, where the portion of the nanowire comprises a channel region of a lateral nanowire FET. The channel region connects source and drain regions of the FET. At 608, the dummy gate is replaced with a replacement gate. At 610, the replacing includes removing the dummy gate to expose the portion and the sacrificial layer thereunder. At 612, the replacing includes etching the sacrificial layer after the removal of the dummy gate. The etching is selective to the sacrificial layer to prevent the removal of the nanowire, and the etching causes the portion of the nanowire to be suspended over the semiconductor substrate. At 614, the replacing includes forming the replacement gate that surrounds the portion of the nanowire. The replacement gate is deposited in a conformal manner over all sides of the portion, such that the portion is no longer suspended over the semiconductor substrate.

Accordingly, one aspect of the instant disclosure provides a transistor device, which comprises: a semiconductor substrate; a buffer layer formed in a fin structure over the semiconductor substrate; a nanowire formed over the buffer layer, having at least a middle portion suspended over the buffer layer by an undercutting, the nanowire including a source and a drain region respectively defined at distal portions thereof and a channel region defined in the suspended portion of the nanowire and connecting the source and drain regions; and a gate structure surrounding at least a portion of the suspended portion of the nanowire.

Accordingly, another aspect of the instant disclosure provides a transistor device that comprises: a semiconductor substrate; a device layer including a source region and a drain region, the source region and the drain region being connected by a channel region that comprises at least a portion of a nanowire, wherein the channel region is formed over a buffer layer; a gate region that surrounds at least the portion of the nanowire; and a distance L beneath the source region or the drain region, wherein 0≦L≦LS/D, such that a potential barrier between the source region or the drain region and the buffer layer is higher than an operation voltage of the transistor device.

Accordingly, yet another aspect of the instant disclosure provides a transistor device that comprises: a semiconductor substrate; a device layer including a source region and a drain region, the source region and the drain region being connected by a channel region that comprises at least a portion of a nanowire with a determined diameter, wherein the channel region is formed over a buffer layer; and a gate that surrounds at least the portion of the nanowire, wherein the gate comprises a high-k dielectric layer deposited in a conformal manner over all sides of the portion, wherein a ratio of the thickness of the high-k dielectric layer to the thickness of the diameter of the nanowire determined by the channel region is between about ⅓ and 1, such that a potential barrier between the source region or the drain region and the buffer layer is higher than an operation voltage of the transistor device.

This written description uses examples to disclose the disclosure, including the best mode, and also to enable a person skilled in the art to make and use the disclosure. The patentable scope of the disclosure may include other examples. It should be understood that as used in the description herein and throughout the claims that follow, the meaning of “a,” “an,” and “the” includes plural reference unless the context clearly dictates otherwise. Also, as used in the description herein and throughout the claims that follow, the meaning of “in” includes “in” and “on” unless the context clearly dictates otherwise. Further, as used in the description herein and throughout the claims that follow, the meaning of “each” does not require “each and every” unless the context clearly dictates otherwise. Finally, as used in the description herein and throughout the claims that follow, the meanings of “and” and “or” include both the conjunctive and disjunctive and may be used interchangeably unless the context expressly dictates otherwise; the phrase “exclusive of” may be used to indicate situations where only the disjunctive meaning may apply.

Oxland, Richard Kenneth

Patent Priority Assignee Title
10121870, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with strain-relaxed buffer
10134640, Jul 18 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
10170374, Mar 23 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
10170378, Nov 29 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
10170634, Mar 17 2015 International Business Machines Corporation Wire-last gate-all-around nanowire FET
10181524, Jul 14 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Vertical transistor device and method for fabricating the same
10211307, Jul 18 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
10269800, May 26 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
10269914, Sep 27 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10269965, Oct 25 2017 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Multi-gate semiconductor device and method for forming the same
10290548, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
10297508, Aug 31 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
10297636, Sep 28 2017 Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating complementary metal-oxide-semiconductor image sensor
10304903, Apr 15 2016 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure
10325993, Sep 28 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Gate all around device and fabrication thereof
10374059, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
10403550, Aug 30 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of manufacturing a semiconductor device and a semiconductor device
10431696, Nov 08 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
10453752, Sep 18 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of manufacturing a gate-all-around semiconductor device
10475908, Apr 25 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
10475929, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10483380, Apr 20 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
10504796, Mar 23 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
10510840, Jun 20 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD GAA FET with u-shaped channel
10516032, Sep 28 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
10516039, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10522622, May 14 2018 Taiwan Semiconductor Manufacturing Company Ltd Multi-gate semiconductor device and method for forming the same
10522637, Apr 18 2014 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Circuits using gate-all-around technology
10522694, Dec 15 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
10535738, Oct 31 2017 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method of the same
10629501, Nov 29 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device including a first nanowire structure and a second nanowire structure
10629679, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
10665569, May 25 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
10672667, Aug 31 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
10672742, Oct 26 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10672824, Nov 30 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor
10672879, Jul 30 2018 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
10679988, Sep 18 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
10699956, Aug 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
10700066, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10714592, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
10720431, Jan 25 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
10720503, Aug 14 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
10727134, Oct 30 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with gate-all-around structure
10756089, May 16 2018 Taiwan Semiconductor Manufacturing Company Ltd Hybrid semiconductor transistor structure and manufacturing method for the same
10763337, Sep 28 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of gate all around device
10770358, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10784278, Jul 30 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
10804268, May 26 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
10804375, Mar 23 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
10811317, Aug 14 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing nanostructure with various widths
10811518, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
10818777, Oct 30 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of manufacturing a semiconductor device and a semiconductor device
10825918, Jan 29 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
10825919, Feb 21 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
10825933, Jun 11 2018 Taiwan Semiconductor Manufacturing Company Ltd Gate-all-around structure and manufacturing method for the same
10854668, Sep 28 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor image sensor
10861952, Jul 18 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing gate-all-around (GAA) FETs through partial replacement of gate spacers
10861962, Apr 25 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
10867866, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10867867, Mar 14 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
10868009, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10868127, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
10868154, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10879130, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device structure with semiconductor wire
10879246, Jan 25 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
10879394, Jul 31 2018 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device and method of forming the same
10879469, Jun 28 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor
10886270, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of semiconductor device
10896974, Apr 20 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
10910375, Sep 28 2018 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device and method of fabrication thereof
10943832, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10943977, Jun 20 2017 Taiwan Semiconductor Manufacturing Co., Ltd. GAA FET with U-shaped channel
10950693, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
10977409, Oct 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of generating a layout for a semiconductor device
10978422, May 25 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
10985265, Aug 22 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method for forming semiconductor device structure
10991811, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with nanowires
10998426, Jul 31 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
10998429, Jul 31 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11004959, Jan 29 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11018226, Aug 14 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11024548, Sep 18 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Complementary MOS FETS vertically arranged and including multiple dielectric layers surrounding the MOS FETS
11024729, Sep 27 2018 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing semiconductor device
11038029, Nov 08 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11038043, Jul 31 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11038044, Jul 31 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11038058, Apr 26 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11038061, Apr 26 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11056400, Aug 31 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
11056401, Mar 23 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
11081589, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11081592, Dec 15 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11088251, Oct 01 2019 Taiwan Semiconductor Manufacturing Company, Ltd Source/drain contacts for semiconductor devices and methods of forming
11107836, Sep 16 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
11107931, Nov 08 2017 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with nanowires
11114303, Jul 31 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
11114345, Aug 22 2019 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
11121037, Sep 27 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
11127832, Oct 01 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor structure and method for forming the same
11133221, Dec 17 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
11133394, Sep 27 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11139379, Jan 16 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor structure and method for forming the same
11139381, Jul 18 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with gate-all-around (GAA) FETs having inner insulating spacers
11152338, Oct 26 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11152491, Aug 23 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with inner spacer layer
11158542, Aug 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device structure with semiconductor wire
11158741, Feb 11 2020 Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd Nanostructure device and method
11164796, Mar 14 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
11164866, Feb 20 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
11177179, Aug 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11177361, Jul 30 2018 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and gate-all-around FET with selective high-k oxide deposition
11177391, Nov 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11183560, May 14 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor device
11183599, Dec 15 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11195763, Aug 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11195926, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
11195930, Jul 22 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor devices with backside power rail and methods of fabrication thereof
11201060, Apr 17 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
11201225, Oct 31 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
11211381, Jan 29 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
11211472, Feb 24 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device and method of forming the same
11217494, Jul 31 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor devices and methods of manufacture
11217629, May 19 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
11222948, Sep 27 2019 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure and method of fabricating the semiconductor structure
11233130, Oct 25 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
11239208, May 12 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
11244871, Jun 27 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
11245005, May 14 2018 Taiwan Semiconductor Manufacturing Co., Ltd.; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method for manufacturing semiconductor structure with extended contact structure
11245023, Jul 31 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD ; NATIONAL TAIWAN UNIVERSITY Semiconductor device and manufacturing method thereof
11251308, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11264283, May 29 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Multi-channel devices and methods of manufacture
11264327, Oct 30 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
11264483, Sep 28 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
11264502, Feb 27 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
11271113, Jun 12 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11282843, May 22 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD ; NATIONAL TAIWAN UNIVERSITY Memory device, SRAM cell, and manufacturing method thereof
11296199, Oct 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods
11296236, Jul 30 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11302693, Aug 31 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and methods of forming the same
11302792, Sep 28 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of gate all around device
11309396, Sep 27 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11309424, Apr 13 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11315936, Aug 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
11316046, Feb 27 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11322495, Oct 28 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
11322619, Oct 30 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11329094, Nov 30 2016 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor
11329163, Jul 27 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of manufacturing a semiconductor device and a semiconductor device
11329165, Feb 26 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Structure and formation method of semiconductor device with isolation structure
11335552, Apr 17 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Structure and formation method of semiconductor device with oxide semiconductor channel
11335776, Feb 11 2020 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid channel semiconductor device and method
11335806, Aug 11 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11342326, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
11342334, Jun 15 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell and method
11348836, Oct 30 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with nanostructure and method for manufacturing the same
11348920, May 26 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical semiconductor device with steep subthreshold slope
11349004, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
11355363, Aug 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
11355398, Sep 21 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and methods of forming the same
11355410, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
11355605, Oct 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11362001, Aug 14 2018 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method for manufacturing nanostructures with various widths
11362096, Dec 27 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
11367782, Aug 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing
11380591, Aug 14 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing nanostructure with various widths
11380768, May 28 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device and manufacturing method thereof
11380803, Oct 30 2017 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
11387233, Jun 29 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and methods of forming the same
11387322, Sep 21 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device having nanosheet transistor and methods of fabrication thereof
11393898, Feb 27 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11393924, May 18 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with high contact area
11393925, Dec 31 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with nanostructure
11398476, May 16 2018 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Structure and formation method of semiconductor device with hybrid fins
11410930, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11411079, Jan 21 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11411083, Oct 31 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
11417601, Jun 05 2020 Taiwan Semiconductor Manufacturing Co., Ltd.; TSMC NANJING COMPANY LIMITED; TSMC CHINA COMPANY LIMITED Semiconductor device and manufacturing method thereof
11417653, Sep 30 2019 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure and method for forming the same
11417745, Jul 30 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Structure and formation method of semiconductor device with metal gate stack
11417751, Apr 01 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and method for forming the same
11417767, May 27 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
11417777, Jun 11 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Enlargement of GAA nanostructure
11424242, Oct 31 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
11424347, Jun 11 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11430891, Sep 16 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Gate all around structure with additional silicon layer and method for forming the same
11437492, May 20 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
11443979, Apr 01 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
11444177, Jan 30 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11444197, Feb 07 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
11444199, Aug 03 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11444200, Dec 26 2019 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structure with isolating feature and method for forming the same
11444202, Jan 17 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device and method of forming the same
11450569, Sep 18 2020 Taiwan Semiconductor Manufacturing Co., Ltd.; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device and forming method thereof
11450600, May 12 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including decoupling capacitors
11450663, Nov 25 2020 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and methods of forming the same
11450664, Nov 25 2020 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device having nanosheet transistor and methods of fabrication thereof
11450666, Nov 25 2020 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor devices including two-dimensional material and methods of fabrication thereof
11450754, Oct 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
11456209, Jul 31 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
11456368, Aug 22 2019 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure with hard mask layer over fin structure and method for forming the same
11462549, Jun 30 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device and method of fabricating the same
11462563, Jul 30 2018 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Memory device and manufacturing method thereof
11462614, Aug 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
11469326, Sep 18 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor devices and methods of fabrication thereof
11495661, Apr 07 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
11495682, Feb 27 2020 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device and method
11502034, Sep 21 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor devices with backside power rail and methods of fabrication thereof
11502081, Jan 14 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11502187, Jan 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11502201, Oct 27 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device with backside power rail and methods of fabrication thereof
11508807, Nov 25 2020 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device having nanosheet transistor and methods of fabrication thereof
11515305, May 16 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of hybrid semiconductor device
11515393, Mar 31 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
11522046, Jan 10 2020 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Memory device and method of fabricating the memory device
11527614, Mar 09 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
11527630, Jun 24 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
11532607, Aug 19 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD ESD structure and semiconductor structure
11532625, Sep 28 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabrication thereof
11532628, Feb 26 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11532703, May 27 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11532714, Jun 25 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
11532715, Oct 01 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
11532725, Mar 11 2021 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming sidewall spacers and semiconductor devices fabricated thereof
11545490, Dec 17 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
11545559, Apr 14 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11545582, Jun 11 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming gate-all-around structure
11551969, Sep 23 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside interconnection structure having air gap
11557510, Jul 30 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
11557626, Sep 28 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor image sensor and method of making
11563006, Jun 24 2020 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure and method for manufacturing thereof
11563099, Oct 01 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure
11563109, Feb 19 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11569223, Oct 30 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Integrated circuit and method for fabricating the same
11569348, Feb 26 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor devices and methods of fabrication thereof
11581360, Sep 28 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor image sensor and method of making
11581410, Feb 12 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11581426, Jul 31 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11581437, Mar 11 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and methods of forming the same
11588018, Jan 28 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
11594455, Jan 05 2021 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and manufacturing method for the same
11594610, Oct 15 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11600528, May 28 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor structure and method for forming the same
11600616, Sep 18 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FINFETs having different channel heights
11605633, Nov 30 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
11605728, Aug 23 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with inner spacer layer
11605737, Apr 13 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11616146, Oct 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11621195, Oct 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
11621344, Mar 23 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
11626327, Mar 14 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
11626400, Jul 16 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure incorporating air gap
11626402, Jan 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
11626493, Nov 08 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
11631770, Oct 31 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
11637101, May 26 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
11637180, Jan 28 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
11640941, Feb 25 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor devices including metal gate protection and methods of fabrication thereof
11652140, Feb 25 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and methods of forming the same
11658245, Oct 29 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
11664374, May 29 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Backside interconnect structures for semiconductor devices and methods of forming the same
11664378, Apr 08 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and methods of forming the same
11664420, Dec 26 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
11664454, Apr 26 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure
11670718, Jun 12 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with inner spacer
11676864, Aug 27 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor device structure and methods of forming the same
11677010, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11682587, Oct 30 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
11688625, Aug 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
11688767, Feb 25 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11688771, Aug 14 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
11688786, Jan 22 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11688793, Apr 08 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
11699729, Oct 29 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods
11710774, Apr 23 2021 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
11715762, Jan 28 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
11715777, May 29 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11715779, May 29 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-channel devices and methods of manufacture
11721739, Jul 30 2018 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and gate-all-around FET with insulator having hydrophobic sidewall
11723209, May 29 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
11728173, Sep 30 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Masking layer with post treatment
11728222, Sep 18 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary MOS FETS vertically arranged and including multiple dielectric layers surrounding the MOS FETS
11728384, Oct 31 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor structure
11735482, Sep 21 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
11735483, Feb 26 2021 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof
11735666, Sep 16 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Gate all around structure with additional silicon layer and method for forming the same
11737254, Oct 21 2020 Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd Memory device and layout, manufacturing method of the same
11742352, May 26 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical semiconductor device with steep subthreshold slope
11742353, Apr 14 2021 Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device and manufacturing method thereof
11742387, Feb 11 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid channel semiconductor device and method
11742416, May 27 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
11742428, Feb 26 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device with isolation structure
11749738, Jul 31 2020 Taiwan Semiconductor Manufacturing Co., Ltd.; NATIONAL TAIWAN UNIVERSITY Semiconductor device and manufacturing method thereof
11756995, Aug 27 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
11756997, Oct 31 2019 Taiwan Semiconductor Manufacturing Company, Ltd.; Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structure and method for forming the same
11757018, May 27 2021 Taiwan Semiconductor Manufacturing Company, Ltd Formation method of semiconductor device with gate all around structure
11757021, Aug 18 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Semiconductor devices with fin-top hard mask and methods for fabrication thereof
11757042, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11764277, Jun 04 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structure and method for manufacturing the same
11765892, Oct 21 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and method of manufacture
11776854, Oct 30 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with hybrid nanostructures
11777008, Oct 30 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around structure and manufacturing method for the same
11784225, Aug 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
11784252, Aug 11 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
11791393, Oct 25 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
11798945, Nov 30 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure
11804531, Jul 23 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
11810824, Aug 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
11810917, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
11810948, Mar 10 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11810961, Jan 28 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
11824058, Sep 28 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device
11824088, May 14 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming multi-gate semiconductor device
11830854, May 12 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
11830912, Mar 18 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and methods of forming the same
11830927, Sep 28 2017 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device
11837535, May 12 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including decoupling capacitors
11842965, Oct 30 2019 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
11843032, Mar 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with channel and method for forming the same
11848365, Oct 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with source/drain structure
11854688, Feb 19 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11855073, Aug 19 2020 Taiwan Semiconductor Manufacturing Company, Ltd. ESD structure
11855078, Aug 27 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
11855167, Jul 08 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with nanosheet structure
11855168, Sep 27 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
11855185, Jul 16 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Multilayer masking layer and method of forming same
11855211, Feb 27 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11855215, May 18 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with high contact area
11855619, Jan 15 2020 Taiwan Semiconductor Manufacturing Company Ltd Power switch circuit, IC structure of power switch circuit, and method of forming IC structure
11862561, May 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside routing and method of forming same
11862634, Aug 14 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure with various widths
11862700, Mar 19 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure including forksheet transistors and methods of forming the same
11862732, Nov 08 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with nanowires
11864369, May 22 2020 Taiwan Semiconductor Manufacturing Co., Ltd.; NATIONAL TAIWAN UNIVERSITY Memory device and SRAM cell
11894451, Sep 27 2018 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
11894460, Mar 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device having nanosheet transistor and methods of fabrication thereof
11901362, Jan 14 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11901364, Aug 27 2021 MANUFACTURING COMPANY, LTD. Semiconductor device structure and methods of forming the same
11901410, Aug 31 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
11901411, Dec 26 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
11901424, May 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
11901439, Jan 30 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11903189, Jul 09 2020 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Three-dimensional memory and fabricating method thereof
11903213, Jul 29 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for making same
11908893, Aug 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
11915937, Apr 29 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine incorporation method for nanosheet
11915946, Aug 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
11915972, Jul 31 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming spacers for semiconductor devices including backside power rails
11916110, Sep 21 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
11916114, Aug 17 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
11916132, May 20 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
11916151, Jun 25 2021 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure having fin with all around gate
11923252, Mar 23 2017 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for manufacturing the same
11923361, Oct 31 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with isolation structure
11923413, May 14 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with extended contact structure
11923414, Jan 21 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11923432, Apr 14 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11929287, Apr 23 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
11929361, Nov 13 2020 Taiwan Semiconductor Manufacturing Co., Ltd.; TSMC CHINA COMPANY LIMITED Integrated circuit and manufacturing method thereof
11929413, Jul 30 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with metal gate stack
11935954, Jul 30 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and method for forming the same
11935958, Oct 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11942390, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
11942478, May 06 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device structure and methods of forming the same
11942513, Sep 27 2019 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Semiconductor structure and method of fabricating the semiconductor structure
11942523, Feb 12 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
11942530, Jul 22 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
11942552, Jul 27 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
11942556, Apr 08 2021 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device and manufacturing method thereof
11948843, Aug 06 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming hardmask formation by hybrid materials in semiconductor device
11955384, Feb 17 2022 Taiwan Semiconductor Manufacturing Company, Ltd.; NATIONAL TAIWAN UNIVERSITY Stacked semiconductor device with nanostructure channels and manufacturing method thereof
11955552, Oct 27 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with backside power rail and methods of fabrication thereof
11961840, Nov 25 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
11961886, Mar 09 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure
11961887, Mar 31 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
11961913, Apr 26 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
11967594, Nov 25 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
11973077, May 26 2020 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
11973129, Aug 23 2018 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with inner spacer layer and method for forming the same
11974441, Aug 13 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array including epitaxial source lines and bit lines
11978674, May 05 2021 MANUFACTURING COMPANY, LTD. Semiconductor device structure and methods of forming the same
11978773, Mar 25 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device structure with semiconductor nanostructures
11984350, Sep 23 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside interconnection structure having air gap
11984402, Apr 28 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
11990374, Mar 11 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming sidewall spacers and semiconductor devices fabricated thereof
11990404, May 05 2021 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation for semiconductor devices and methods of manufacture
11991887, May 06 2021 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory
11996332, Oct 30 2019 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
11996482, Apr 13 2020 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
ER1456,
ER4077,
ER4995,
ER7218,
Patent Priority Assignee Title
7075161, Oct 23 2003 Agilent Technologies, Inc.; Agilent Technologies, Inc Apparatus and method for making a low capacitance artificial nanopore
8324699, Feb 08 2008 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
8426728, Jun 12 2009 Honeywell International Inc. Quantum dot solar cells
20060216897,
20120007051,
20120228682,
20130112938,
20130270512,
20140175515,
20140197370,
20140353731,
20150028389,
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 10 2015Taiwan Semiconductor Manufacturing Company Limited(assignment on the face of the patent)
Date Maintenance Fee Events
Apr 02 2020M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jun 10 2024REM: Maintenance Fee Reminder Mailed.


Date Maintenance Schedule
Oct 18 20194 years fee payment window open
Apr 18 20206 months grace period start (w surcharge)
Oct 18 2020patent expiry (for year 4)
Oct 18 20222 years to revive unintentionally abandoned end. (for year 4)
Oct 18 20238 years fee payment window open
Apr 18 20246 months grace period start (w surcharge)
Oct 18 2024patent expiry (for year 8)
Oct 18 20262 years to revive unintentionally abandoned end. (for year 8)
Oct 18 202712 years fee payment window open
Apr 18 20286 months grace period start (w surcharge)
Oct 18 2028patent expiry (for year 12)
Oct 18 20302 years to revive unintentionally abandoned end. (for year 12)