An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.

Patent
   RE46427
Priority
Sep 21 2006
Filed
Jan 13 2015
Issued
Jun 06 2017
Expiry
Sep 20 2027
Assg.orig
Entity
Large
1
115
currently ok
1. A method of removing post-chemical mechanical polishing (CMP) residue from a microelectronic device having said post-CMP residue thereon, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said post-CMP residue from the microelectronic device, wherein the cleaning composition includes at least one solvent consists of water, at least one corrosion inhibitor, at least one quaternary base, and at least one amine,
wherein the at least one corrosion inhibitor comprises a species is selected from the group consisting of barbituric acid and derivatives thereof, glucuronic acid, squaric acid, alpha-keto acids, adenosine and derivatives thereof, guanine, hypoxanthine, xanthine, theobromine, caffeine, isoguanine, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonols and derivatives thereof, anthocyanins and derivatives thereof, flavonol/anthocyanin, and combinations thereof,
wherein the at least one amine is selected from the group consisting of aminoethylethanolamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, monoethanolamine, triethanolamine, 1-amino-2-propanol, 2-amino-1-butanol, isobutanolamine, triethylenediamine, other C1-C8 alkanolamines and combinations thereof, and
wherein the at least one quaternary base is selected from the group consisting of tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof.
0. 2. The method of claim 1, wherein the cleaning composition further comprises at least one quaternary base.
3. The method of claim 1, wherein said contacting comprises conditions selected from the group consisting of: time of from about 1 second to about 20 minutes; temperature in a range of from about 20° C. to about 90° C.; and combinations thereof.
4. The method of claim 1, wherein the microelectronic device comprises an article selected from the group consisting of semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including, solar substrates, photovoltaics, and microelectromechanical systems (MEMS).
5. The method of claim 1, further comprising diluting the cleaning composition with diluent at or before a point of use, wherein the composition is diluted in a range from about 5:1 to about 200:1.
6. The method of claim 4 5, wherein said diluent comprises water.
7. The method of claim 1, further comprising rinsing the microelectronic device with deionized water following contact with the cleaning composition.
0. 8. The method of claim 1, wherein the cleaning composition further comprises at least one additional component selected from the group consisting of: at least one quaternary base; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; at least one alcohol; and combinations thereof.
0. 9. The method of claim 1, wherein the cleaning composition further comprises at least one embodiment (i) through (viii):
(i) at least one quaternary base, and optionally at least one reducing agent;
(ii) at least one quaternary base, and at least one complexing agent;
(iii) at least one surfactant, and optionally at least one reducing agent;
(iv) at least one reducing agent, optionally at least one surfactant, and optionally at least one quaternary base;
(v) at least one quaternary base, at least one reducing agent, and optionally at least one surfactant;
(vi) at least one quaternary base and uric acid;
(vii) at least one quaternary base, uric acid, and at least one alcohol; and
(viii) at least one quaternary base and at least one alcohol.
0. 10. The method of claim 1, wherein the solvent comprises water.
11. The method of claim 1, wherein the pH of the cleaning composition is in a range from about 8.5 to about 11.5.
0. 12. The method of claim 1, wherein the cleaning composition is substantially devoid of oxidizing agent, fluoride source, and/or abrasive material prior to removal of residue material from the microelectronic device.
0. 13. The method of claim 1, wherein the cleaning composition further comprises at least one additional corrosion inhibitor, wherein the at least one additional corrosion inhibitor comprises a species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzotriazole, citric acid, ethylenediamine, gallic acid, oxalic acid, tannic acid, ethylenediaminetetraacetic acid (EDTA), uric acid, 1,2,4-triazole (TAZ), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo=F, Cl, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, 5-amino-1,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-1,3,5-triazine, thiazole, triazine, methyltetrazole, 1,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, 1-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, imidazole, indiazole, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1,2-dimethylbarbituric acid, alpha-keto acids such as pyruvic acid, adenine, purine, phosphonic acid and derivatives thereof, glycine/ascorbic acid, and combinations thereof.
0. 14. The method of claim 1, wherein the at least one amine has the general formula NR1R2R3, where R1, R2 and R3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained C1-C6 alkyl, branched C1-C6 alkyl, straight-chained C1-C6 alcohol, and branched C1-C6 alcohol.
0. 15. The method of claim 2, wherein the at least one quaternary base has the formula NR1R2R3R4OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained C1-C6 alkyl, branched C1-C6 alkyl, substituted C6-C10 aryl, and unsubstituted C6-C10 aryl.
0. 16. The method of claim 8, comprising at least one alcohol, wherein the at least one alcohol comprises straight-chained or branched C1-C6 alcohols.
0. 17. The method of claim 14, wherein the at least one amine comprises a species selected from the group consisting of aminoethyletholamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, monoethanolamine, triethanolamine, 1-amino-2-propanol, 2-amino-1-butanol, isobutanolamine, triethylenediamine, other Ci-C8 alkanolamines and combinations thereof.
0. 18. The method of claim 15, wherein the at least one quaternary base comprises a species selected from the group consisting of tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof.
19. The method of claim 1, wherein the at least one corrosion inhibitor comprises is selected from the group consisting of adenosine and, derivatives thereof, and combinations thereof.

This application is a continuation-in-part of PCT Application No. PCT/US07/79044, filed Sep. 20, 2007 in the name of David Angst et al., which claims priority to U.S. Provisional Patent Application No. 60/846,306, filed on Sep. 21, 2006 in the name of David Angst, and this application is also a continuation-in-part of PCT Application No. PCT/US08/63885, filed May 16, 2008 in the name of Peng Zhang et al., which claims priority to U.S. Provisional Patent Application No. 60/938,591, filed on May 17, 2007 in the name of Peng Zhang et al., all of which are incorporated by reference in their respective entirety herein.

The present invention relates generally to compositions including antioxidants for cleaning residue and/or contaminants from microelectronic devices having same thereon.

Microelectronic device wafers are used to form integrated circuits. The microelectronic device wafer includes a substrate, such as silicon, into which regions are patterned for deposition of different materials having insulative, conductive or semi-conductive properties.

In order to obtain the correct patterning, excess material used in forming the layers on the substrate must be removed. Further, to fabricate functional and reliable circuitry, it is important to prepare a flat or planar microelectronic wafer surface prior to subsequent processing. Thus, it is necessary to remove and/or polish certain surfaces of a microelectronic device wafer.

Chemical Mechanical Polishing or Planarization (“CMP”) is a process in which material is removed from a surface of a microelectronic device wafer, and the surface is polished (more specifically, planarized) by coupling a physical process such as abrasion with a chemical process such as oxidation or chelation. In its most rudimentary form, CMP involves applying slurry, e.g., a solution of an abrasive and an active chemistry, to a polishing pad that buffs the surface of a microelectronic device wafer to achieve the removal, planarization, and polishing processes. It is not desirable for the removal or polishing process to be comprised of purely physical or purely chemical action, but rather the synergistic combination of both in order to achieve fast, uniform removal. In the fabrication of integrated circuits, the CMP slurry should also be able to preferentially remove films that comprise complex layers of metals and other materials so that highly planar surfaces can be produced for subsequent photolithography, or patterning, etching and thin-film processing.

Recently, copper has been increasingly used for metal interconnects in integrated circuits. In copper damascene processes commonly used for metallization of circuitry in microelectronic device fabrication, the layers that must be removed and planarized include copper layers having a thickness of about 1-1.5 μm and copper seed layers having a thickness of about 0.05-0.15 μm. These copper layers are separated from the dielectric material surface by a layer of barrier material, typically about 50-300 Å thick, which prevents diffusion of copper into the oxide dielectric material. One key to obtaining good uniformity across the wafer surface after polishing is to use a CMP slurry that has the correct removal selectivities for each material.

The foregoing processing operations, involving wafer substrate surface preparation, deposition, plating, etching and chemical mechanical polishing, variously require cleaning operations to ensure that the microelectronic device product is free of contaminants that would otherwise deleteriously affect the function of the product, or even render it useless for its intended function. Often, particles of these contaminants are smaller than 0.3 μm.

One particular issue in this respect is the residues that are left on the microelectronic device substrate following CMP processing. Such residues include CMP material and corrosion inhibitor compounds such as benzotriazole (BTA). If not removed, these residues can cause damage to copper lines or severely roughen the copper metallization, as well as cause poor adhesion of post-CMP applied layers on the device substrate. Severe roughening of copper metallization is particularly problematic, since overly rough copper can cause poor electrical performance of the product microelectronic device.

Another residue-producing process common to microelectronic device manufacturing involves gas-phase plasma etching to transfer the patterns of developed photoresist coatings to the underlying layers, which may consist of hardmask, interlevel dielectric (ILD), and etch stop layers. Post-gas phase plasma etch residues, which may include chemical elements present on the substrate and in the plasma gases, are typically deposited on the back end of the line (BEOL) structures and if not removed, may interfere with subsequent silicidation or contact formation. Conventional cleaning chemistries often damage the ILD, absorb into the pores of the ILD thereby increasing the dielectric constant, and/or corrode the metal structures.

The microelectronics industry therefore continues to seek improvement in cleaning formulations for copper-metallized substrates, and in compositions for processing of microelectronic device structures, including compositions variously useful for post-etching cleaning, post-ashing cleaning and post-chemical mechanical polishing cleaning of microelectronic device wafers.

The present invention generally relates to a composition and process for cleaning residue and/or contaminants from microelectronic devices having said residue and contaminants thereon. The cleaning compositions described herein include at least one novel antioxidant as a corrosion inhibitor. The residue may include post-CMP, post-etch, or post-ash residue.

In one aspect, a cleaning composition comprising at least one solvent, at least one corrosion inhibitor, and at least one amine is described, wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon. The cleaning composition may further comprise at least one additional component selected from the group consisting of: at least one quaternary base; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; uric acid; at least one alcohol; and combinations thereof.

In another aspect, a cleaning composition comprising at least one solvent, at least one surfactant, at least one dispersing agent, at least one sulfonic-acid containing hydrocarbon, and at least one corrosion inhibitor is described, wherein the corrosion inhibitor comprises a species selected from the group consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, wherein the cleaning composition is effective for the removal of residue from a microelectronic device having said residue thereon.

In yet another aspect, the invention relates to removal composition comprising at least one amine, at least one quaternary base, at least one antioxidant, optionally at least one alcohol and optionally at least one additional corrosion inhibitor, wherein said removal composition is suitable for removing residue and contaminants from a microelectronic device having said material thereon.

Yet another aspect relates to a removal composition comprising at least one amine, at least one quaternary base, at least one antioxidant, at least one alcohol and at least one additional organic acid antioxidant, wherein said removal composition is suitable for removing residue and contaminants from a microelectronic device having said material thereon. Preferably, the at least one antioxidant comprises uric acid.

In another aspect, a kit is described, said kit comprising, in one or more containers, one or more of the following reagents for forming a cleaning composition, said one or more reagents selected from the group consisting of: at least one corrosion inhibitor; at least one quaternary base; at least one organic amine; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; at least one amine; uric acid; at least one alcohol; and combinations thereof. The at least one corrosion inhibitor preferably comprises at least one species selected from the group consisting of squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, glucuronic acid, quercitin/anthocyanins, and combinations thereof.

In yet another aspect, a method of removing residue and contaminants from a microelectronic device having said residue and contaminants thereon is described, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to at least partially clean said residue and contaminants from the microelectronic device, wherein the cleaning composition includes at least one solvent, at least one corrosion inhibitor and at least one amine, wherein the corrosion inhibitor comprises a species selected from the group consisting of consisting of: cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. The cleaning composition may further comprise at least one additional component selected from the group consisting of: at least one quaternary base; at least one complexing agent; at least one surfactant; at least one reducing agent; at least one dispersing agent; at least one sulfonic acid-containing hydrocarbon; uric acid; at least one alcohol; and combinations thereof.

Another aspect relates to a method of identifying an endpoint of a cleaning composition, said method comprising:

In another aspect, a method of removing post-CMP residue and contaminants from a microelectronic device having same thereon is described, said method comprising:

In a further aspect, a method of manufacturing a microelectronic device is described, said method comprising contacting the microelectronic device with a cleaning composition described herein for sufficient time to at least partially clean post-CMP residue, post-etch residue, post-ash residue and/or contaminants from the microelectronic device having said residue and contaminants thereon.

Yet another aspect relates to improved microelectronic devices, and products incorporating same, made using the methods described herein comprising cleaning of post-CMP residue, post-etch residue, post-ash residue and/or contaminants from the microelectronic device having said residue and contaminants thereon, using the methods and/or compositions described herein, and optionally, incorporating the microelectronic device into a product.

Another aspect relates to an article of manufacture comprising a cleaning composition, a microelectronic device wafer, and material selected from the group consisting of residue, contaminants and combinations thereof, wherein the cleaning composition comprises at least one solvent, at least one corrosion inhibitor and at least one amine, wherein the at least one corrosion inhibitor comprises a species selected from the group consisting of cyanuric acid; barbituric acid and derivatives thereof; glucuronic acid; squaric acid; alpha-keto acids; adenosine and derivatives thereof; purine compounds and derivatives thereof; phosphonic acid derivatives; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof; flavonols and derivatives thereof; anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof, and wherein the residue comprises at least one of post-CMP residue, post-etch residue and post-ash residue.

Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.

FIG. 1a is a scanning electron micrograph (SEM) of the control wafer (post-CMP) at 6,000 times magnification; showing residues from the CMP process and slurry particles.

FIG. 1b is a SEM of the control wafer of FIG. 1a following cleaning with a 20:1 dilution of concentrate A according to the method described herein.

FIG. 1c is a SEM of the control wafer of FIG. 1a following cleaning with a 20:1 dilution of concentrate D according to the method described herein.

FIG. 2a is a Partial Image atomic force micrograph (AFM) of the copper surface following cleaning with a 20:1 dilution of concentrate A according to the method described herein.

FIG. 2b is a Partial Image atomic force micrograph (AFM) of the copper surface following cleaning with a 20:1 dilution of concentrate D according to the method described herein.

The present invention relates generally to compositions useful for the removal of residue and contaminants from a microelectronic device having such material(s) thereon. The compositions are particularly useful for the removal of post-CMP, post-etch or post-ash residue.

For ease of reference, “microelectronic device” corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar substrates, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. Solar substrates include, but are not limited to, silicon, amorphous silicon, polycrystalline silicon, monocrystalline silicon, CdTe, copper indium selenide, copper indium sulfide, and gallium arsenide on gallium. The solar substrates may be doped or undoped. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.

As used herein, “residue” corresponds to particles generated during the manufacture of a microelectronic device including, but not limited to, plasma etching, ashing, chemical mechanical polishing, wet etching, and combinations thereof.

As used herein, “contaminants” correspond to chemicals present in the CMP slurry, reaction by-products of the polishing slurry, chemicals present in the wet etching composition, reaction by products of the wet etching composition, and any other materials that are the by-products of the CMP process, the wet etching, the plasma etching or the plasma ashing process.

As used herein, “post-CMP residue” corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, organic residues, and any other materials that are the by-products of the CMP process.

As defined herein, “low-k dielectric material” corresponds to any material used as a dielectric material in a layered microelectronic device, wherein the material has a dielectric constant less than about 3.5. Preferably, the low-k dielectric materials include low-polarity materials such as silicon-containing organic polymers, silicon-containing hybrid organic/inorganic materials, organosilicate glass (OSG), TEOS, fluorinated silicate glass (FSG), silicon dioxide, and carbon-doped oxide (CDO) glass. It is to be appreciated that the low-k dielectric materials may have varying densities and varying porosities.

As defined herein, “complexing agent” includes those compounds that are understood by one skilled in the art to be complexing agents, chelating agents and/or sequestering agents. Complexing agents will chemically combine with or physically hold the metal atom and/or metal ion to be removed using the compositions described herein.

As defined herein, the term “barrier material” corresponds to any material used in the art to seal the metal lines, e.g., copper interconnects, to minimize the diffusion of said metal, e.g., copper, into the dielectric material. Preferred barrier layer materials include tantalum, titanium, ruthenium, hafnium, tungsten, and other refractory metals and their nitrides and silicides.

As defined herein, “post-etch residue” corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing, or wet etching processes. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.

As defined herein, “post-ash residue,” as used herein, corresponds to material remaining following oxidative or reductive plasma ashing to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.

“Substantially devoid” is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, and most preferably less than 0.1 wt. %.

As used herein, “about” is intended to correspond to ±5% of the stated value.

As used herein, “suitability” for cleaning residue and contaminants from a microelectronic device having said residue and contaminants thereon corresponds to at least partial removal of said residue/contaminants from the microelectronic device. Cleaning efficacy is rated by the reduction of objects on the microelectronic device. For example, pre- and post-cleaning analysis may be carried out using an atomic force microscope. The particles on the sample may be registered as a range of pixels. A histogram (e.g., a Sigma Scan Pro) may be applied to filter the pixels in a certain intensity, e.g., 231-235, and the number of particles counted. The particle reduction may be calculated using:

Cleaning  Efficacy = ( Number of PreClean Objects - Number of PostClean Objects ) Number of PreClean Objects × 100
Notably, the method of determination of cleaning efficacy is provided for example only and is not intended to be limited to same. Alternatively, the cleaning efficacy may be considered as a percentage of the total surface that is covered by particulate matter. For example, AFM's may be programmed to perform a z-plane scan to identify topographic areas of interest above a certain height threshold and then calculate the area of the total surface covered by said areas of interest. One skilled in the art would readily understand that the less area covered by said areas of interest post-cleaning, the more efficacious the cleaning composition. Preferably, at least 75% of the residue/contaminants are removed from the microelectronic device using the compositions described herein, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99% of the residue/contaminants are removed.

The cleaning compositions described herein must possess good metal compatibility, e.g., a low etch rate on the interconnect metal and/or interconnector metal silicide material. Metals of interest include, but are not limited to, copper, tungsten, cobalt, aluminum, tantalum, titanium, ruthenium, and silicides thereof.

Compositions described herein may be embodied in a wide variety of specific formulations, as hereinafter more fully described.

In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.

The cleaning compositions include at least one antioxidant component (i.e., “corrosion inhibitor”) where the antioxidant component is added to the cleaning composition to lower the corrosion rate of metals, e.g., copper, aluminum, as well as enhance the cleaning performance. Antioxidants (also referred to as “corrosion inhibitors” herein) contemplated include, but are not limited to: cyanuric acid; barbituric acid and derivatives such as 1,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. For example, the flavonols may include quercitin and derivatives thereof such as quercetin glucosides, quercitrin (quercetinrhamnoside) and rutin (quercetin rutinoside). The combination of anthocyanins and flavonols increases the solubility of flavonols in water. Particularly preferred antioxidants include purine compounds, squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids, anthocyanins, flavonol/anthocyanins, quercitin and derivatives thereof, and glucuronic acid.

In one aspect, a cleaning composition is described, wherein said cleaning composition comprises at least one solvent and at least one antioxidant (i.e., corrosion inhibitor) selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. Preferably the solvent comprises water, preferably deionized water.

Embodiments of the cleaning compositions of this aspect include compositions selected from the group consisting of (i)-(ix), wherein the antioxidant (i.e., corrosion inhibitor) is selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof,

In a particularly preferred embodiment, the cleaning composition comprises at least one quaternary base, at least one organic amine, at least one antioxidant, and water, wherein the antioxidant (i.e., corrosion inhibitor) is selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. The cleaning composition may optionally further comprise at least one reducing agent, at least one complexing agent, at least one surfactant, residue material, or combinations thereof.

The cleaning composition is particularly useful for cleaning residue and contaminants, e.g., post-CMP residue, post-etch residue, post-ash residue, and contaminants from a microelectronic device structure. Regardless of the embodiment, the cleaning compositions are preferably substantially devoid of oxidizing agent, fluoride source, and abrasive material prior to removal of residue material from the microelectronic device. When the cleaning composition includes glucoronic acid, the pH of the composition should be greater than or equal to 6.

The pH of the cleaning compositions of this aspect may be varied to produce a composition optimized for the intended end use. In general, the pH will be basic, e.g., greater than about 8.5 and less than about 11.5. For example, concentrated cleaning compositions described herein have a higher pH, e.g., about 11 to about 11.5, and following dilution as described herein, the pH of the diluted composition will decrease to about 9 to about 10, respectively. Preferably, diluted cleaning compositions have pH in a range from about 8.5 to 9.5.

In yet another preferred embodiment, the cleaning compositions of this aspect further include residue and/or contaminants. The residue and contaminants may be dissolved and/or suspended in the compositions. Preferably, the residue includes post-CMP residue, post-etch residue, post-ash residue, contaminants, or combinations thereof.

In a further embodiment of this aspect, the cleaning composition may comprise, consist of, or consist essentially of a cleaning composition selected from the group consisting of (i)-(ix), wherein the at least one antioxidant (i.e., corrosion inhibitor) comprises a species selected from the group consisting of cyanuric acid; barbituric acid and derivatives such as 1,2-dimethylbarbituric acid; glucuronic acid; squaric acid; alpha-keto acids such as pyruvic acid; adenosine and derivatives thereof; purine compounds such as adenine, purine, guanine, hypoxanthine, xanthine, theobromine, caffeine, uric acid, and isoguanine, and derivatives thereof; phosphonic acid and derivatives thereof; phenanthroline/ascorbic acid; glycine/ascorbic acid; nicotinamide and derivatives thereof such as nicotinamide ascorbate; flavonoids such as flavonols and anthocyanins and derivatives thereof; flavonol/anthocyanin; and combinations thereof. Particularly preferred antioxidants include purine compounds, squaric acid, adenosine and derivatives thereof, phenanthroline/ascorbic acid, nicotinamide and derivatives thereof, flavonoids; anthocyanins; flavonol/anthocyanins; quercitin and derivatives thereof; and glucuronic acid.

The cleaning compositions may further include additional corrosion inhibitors, in addition to the antioxidants enumerated above, including, but not limited to, ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, benzotriazole, citric acid, ethylenediamine, gallic acid, oxalic acid, tannic acid, ethylenediaminetetraacetic acid (EDTA), uric acid, 1,2,4-triazole (TAZ), tolyltriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, 3-amino-5-mercapto-1,2,4-triazole, 1-amino-1,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazole, 1-amino-1,2,3-triazole, 1-amino-5-methyl-1,2,3-triazole, 3-amino-1,2,4-triazole, 3-mercapto-1,2,4-triazole, 3-isopropyl-1,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo=F, Cl, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, 5-amino-1,3,4-thiadiazole-2-thiol, 2,4-diamino-6-methyl-1,3,5-triazine, thiazole, triazine, methyltetrazole, 1,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, 1 -phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, mercaptobenzimidazole, 4-methyl-4H-1,2,4-triazole-3-thiol, 5-amino-1,3,4-thiadiazole-2-thiol, benzothiazole, tritolyl phosphate, imidazole, indiazole, benzoic acid, ammonium benzoate, catechol, pyrogallol, resorcinol, hydroquinone, cyanuric acid, barbituric acid and derivatives such as 1,2-dimethylbarbituric acid, alpha-keto acids such as pyruvic acid, adenine, purine, phosphonic acid and derivatives thereof, glycine/ascorbic acid, and combinations thereof. For example, the cleaning compositions may include the combination of phenanthroline and ascorbic acid or glycine and ascorbic acid.

Illustrative amines (i.e., organic amines) that may be useful in specific compositions include species having the general formula NR1R2R3, wherein R1, R2 and R3 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched C1-C6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl) and straight-chained or branched C1-C6 alcohol (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol). Most preferably, at least one of R1, R2 and R3 is a straight-chained or branched C1-C6 alcohol. Examples include, without limitation, aminoethylethanolamine, N-methylaminoethanol, aminoethoxyethanol, dimethylaminoethoxyethanol, diethanolamine, N-methyldiethanolamine, monoethanolamine, triethanolamine, 1-amino-2-propanol, 2-amino-1-butanol, isobutanolamine, triethylenediamine, other C1-C8 alkanolamines and combinations thereof.

Quaternary bases contemplated herein include compounds having the formula NR1R2R3R4OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and are selected from the group consisting of hydrogen, straight-chained or branched C1-C6 alkyl (e.g., methyl, ethyl, propyl, butyl, pentyl, and hexyl), and substituted or unsubstituted C6-C10 aryl, e.g., benzyl. Tetraalkylammonium hydroxides that are commercially available include tetraethylammonium hydroxide (TEAH), tetramethyammonium hydroxide (TMAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), tributylmethylammonium hydroxide (TBMAH), benzyltrimethylammonium hydroxide (BTMAH), and combinations thereof, may be used. Tetraalkylammonium hydroxides which are not commercially available may be prepared in a manner analogous to the published synthetic methods used to prepare TMAH, TEAH, TPAH, TBAH, TBMAH, and BTMAH, which are known to one ordinary of skill in the art. Another widely used quaternary ammonium base is choline hydroxide.

Reducing agent(s) contemplated herein include species selected from the group consisting of ascorbic acid, L(+)-ascorbic acid, isoascorbic acid, ascorbic acid derivatives, gallic acid, glyoxal, and combinations thereof.

Illustrative alcohols include straight-chained or branched C1-C6 alcohols (e.g., methanol, ethanol, propanol, butanol, pentanol, and hexanol), diols and triols. Preferably, the alcohol comprises isopropanol (IPA).

Illustrative surfactants for use in the compositions described herein include, but are not limited to, amphoteric salts, cationic surfactants, anionic surfactants, fluoroalkyl surfactants, non-ionic surfactants, and combinations thereof including, but not limited to, SURFONYL® 104, TRITON® CF-21, ZONYL® UR, ZONYL® FSO-100, ZONYL® FSN-100, 3M Fluorad fluorosurfactants (i.e., FC-4430 and FC-4432), dioctylsulfosuccinate salt, 2,3-dimercapto-1-propanesulfonic acid salt, dodecylbenzenesulfonic acid, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, R1 benzene sulfonic acids or salts thereof (where the R1 is a straight-chained or branched C8-C18 alkyl group), amphiphilic fluoropolymers, polyethylene glycols, polypropylene glycols, polyethylene or polypropylene glycol ethers, carboxylic acid salts, dodecylbenzenesulfonic acid, polyacrylate polymers, dinonylphenyl polyoxyethylene, silicone or modified silicone polymers, acetylenic diols or modified acetylenic diols, alkylammonium or modified alkylammonium salts, as well as combinations comprising at least one of the foregoing surfactants, sodium dodecyl sulfate, zwitterionic surfactants, aerosol-OT (AOT) and fluorinated analogues thereof, alkyl ammonium, perfluoropolyether surfactants, 2-sulfosuccinate salts, phosphate-based surfactants, sulfur-based surfactants, and acetoacetate-based polymers. In a preferred embodiment, the surfactant includes an alkyl benzene sulfonic acid, more preferably dodecylbenzenesulfonic acid.

The dispersing agent, when used in the compositions described herein, is included to increase dispersancy and minimize redeposition of the removed residue and contaminants at the surface of the microelectronic device wafer. Dispersing agents contemplated herein include organic polymers containing acrylic acid or salts thereof having an average molecular weight of less than 15,000, hereinafter referred to as low molecular weight acrylic acid-containing polymer. The low molecular weight acrylic acid-containing polymer has an average molecular weight of less than 15,000, preferably from about 3,000 to about 10,000. The low molecular weight acrylic acid-containing polymer may be either a homopolymer or a copolymer including the essential acrylic acid or acrylic acid salt monomer units. Copolymers may include essentially any suitable other monomer units including modified acrylic, fumaric, maleic, itaconic, aconitic, mesaconic, citraconic, and methylenemalonic acid or their salts, maleic anhydride, alkylene, vinylmethyl ether, styrene and any mixtures thereof. Preferred commercially available low molecular weight acrylic acid containing homopolymers include those sold under the tradename Acusol 445 (Rohm and Haas, Philadelphia, Pa., USA).

The sulfonic acid-containing hydrocarbons contemplated herein include straight chain and branched C1-C6 alkane, e.g., methane, ethane, propane, butane, pentane, hexane, sulfonic acids, straight chain and branched C2-C6 alkene, e.g., ethane, propene, butane, pentene, hexane, sulfonic acids, and substituted or unsubstituted C6-C14 aryl sulfonic acids, and salts thereof, e.g., sodium, potassium, etc. Sulfonic acid-containing hydrocarbons include methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid, pentanesulfonic acid, hexanesulfonic acid, ethenesulfonic acid, toluenesulfonic acid, and combinations thereof.

The optional complexing agents contemplated herein include, but are not limited to, acetic acid, acetone oxime, acrylic acid, adipic acid, alanine, arginine, asparagine, aspartic acid, betaine, dimethyl glyoxime, formic acid, fumaric acid, gluconic acid, glutamic acid, glutamine, glutaric acid, glyceric acid, glycerol, glycolic acid, glyoxylic acid, histidine, iminodiacetic acid, isophthalic acid, itaconic acid, lactic acid, leucine, lysine, maleic acid, maleic anhydride, malic acid, malonic acid, mandelic acid, 2,4-pentanedione, phenylacetic acid, phenylalanine, phthalic acid, proline, propionic acid, pyrocatecol, pyromellitic acid, quinic acid, serine, sorbitol, succinic acid, tartaric acid, terephthalic acid, trimellitic acid, trimesic acid, tyrosine, valine, xylitol, salts and derivatives thereof, and combinations thereof.

With regards to compositional amounts, the weight percent ratios of each component in each embodiment of this aspect described herein is as follows:

In another aspect, the cleaning compositions are aqueous compositions including uric acid and at least one alcohol, which are present in the composition in relative amounts imparting to the composition an effectiveness for cleaning for which the composition is used. In another embodiment, the cleaning compositions are aqueous compositions including at least one amine, at least one base, and uric acid. In another embodiment, the cleaning compositions are aqueous compositions including at least one amine, at least one quaternary base, at least one alcohol, and uric acid. In still another embodiment, the cleaning compositions are aqueous compositions including at least one amine, at least one quaternary base, uric acid, and at least one additional corrosion inhibitor. In yet another embodiment, the cleaning compositions are aqueous compositions including at least one amine, at least one quaternary base, at least one alcohol, uric acid, and at least one additional corrosion inhibitor. As defined herein, “uric acid” also covers derivatives of uric acid.

In the broad practice of this aspect, the cleaning compositions may comprise, consist of, or consist essentially of: (i) at least one amine and at least one alcohol; (ii) at least one amine, at least one base, and uric acid; (iii) at least one amine, at least one quaternary base, at least one alcohol, and uric acid; (iv) at least one amine, at least one quaternary base, uric acid, and at least one additional corrosion inhibitor or (v) at least one amine, at least one quaternary base, at least one alcohol, uric acid, and at least one additional corrosion inhibitor. In general, the specific proportions and amounts of components, in relation to each other, may be suitably varied to provide the desired removal action of the composition for the post-CMP, post-etch and/or post-ash residue and/or processing equipment, as readily determinable within the skill of the art without undue effort. The water is preferably deionized.

The amine(s), base(s), alcohol(s) and additional corrosion inhibitor(s) correspond to those described hereinabove. The range of weight percent ratios of the components of the cleaning composition of this aspect is about 0.3 to about 0.8 quaternary base(s) relative to amine(s), preferably about 0.4 to about 0.7, and most preferably about 0.5 to about 0.6; about 0.3 to about 0.8 alcohol(s) (when present) relative to amine(s), preferably about 0.4 to about 0.7, and most preferably about 0.5 to about 0.6; about 0.01 to about 0.50 uric acid relative to amine(s), preferably about 0.1 to about 0.45, and most preferably about 0.15 to about 0.4; and about 0.01 to about 0.5 additional corrosion inhibitor(s) (when present) relative to amine(s), preferably about 0.1 to about 0.4, and most preferably about 0.2 to about 0.3.

In a specific aspect, the cleaning compositions may be formulated to be substantially devoid of hydrogen peroxide and other oxidizing agents, cyclic ethers, metal corrosion inhibiting metal halides, and abrasive material prior to removal of residue material from the microelectronic device.

The pH of the cleaning compositions of this aspect may be varied to produce a composition optimized for the intended end use. In general, the pH will be basic, e.g., greater than about 8.5 and less than about 11.5. For example, concentrated cleaning compositions described herein have a higher pH, e.g., about 11 to about 11.5, and following dilution as described herein, the pH of the diluted composition will decrease to about 9 to about 10, respectively. Preferably, diluted cleaning compositions have pH in a range from about 8.5 to 9.5.

In various preferred embodiments, concentrates of the cleaning composition of this aspect may have the following weight percent ratios of one component relative to another component:

Concentrates A-C may diluted with water at the manufacturer or at the fab to produce the following Concentrates D-F, wherein all percentages are by weight, based on the total weight of the formulation:

The cleaning compositions provide at least one of the following benefits: an alkaline pH to maximize particle repulsion from the surface; solubilization of organic and inorganic residues; surfactant properties and solubility enhancement via the alcohol; and the minimization of corrosion of metal layers in the microelectronic device structure. Furthermore, dielectric material, including low-k dielectric material, on the microelectronic device is not compromised by the cleaning composition. Preferably, the etch rate of metal material is in a range from about 0.01 Å min−1 to about 10 Å min−1, and most preferably about 0.01 Å min−1 to about 5 Å min−1.

In a particularly preferred embodiment, the cleaning composition of this aspect is aqueous and comprises, consists of, or consists essentially of TMAH, 1-amino-2-propanol, uric acid, IPA, and oxalic acid.

In another embodiment, the cleaning compositions of this aspect further include post-CMP, post-etch, and/or post-ash residue material. The residue material may be dissolved and/or suspended in the cleaning composition. Accordingly, in another particularly preferred embodiment, the cleaning composition is aqueous and comprises, consists of, or consists essentially of TMAH, 1-amino-2-propanol, uric acid, IPA, oxalic acid, and residue material.

Compositions of both aspects described herein are stable in character and do not degrade in the manner of formulations of the prior art. Thus, the compositions are storage stable, without loss of efficacy, and resistant to oxygen-mediated degradation, so that they may be used in ambient air environments, without loss of effectiveness. Furthermore, because the oxidizable components have relatively good air stability as compared to other antioxidant species, the compositions described herein may be recirculated in batch or single wafer processing tools.

The range of weight percent ratios of the components will cover all possible concentrated or diluted embodiments described herein. Towards that end, in one embodiment, a concentrated cleaning composition is provided that can be diluted for use as a cleaning solution. A concentrated composition, or “concentrate,” advantageously permits a user, e.g. CMP process engineer, to dilute the concentrate to the desired strength and pH at the point of use. Dilution of the concentrated cleaning composition may be in a range from about 1:1 to about 2500:1, preferably about 5:1 to about 200:1, wherein the cleaning composition is diluted at or just before the tool with solvent, e.g., deionized water. It is to be appreciated by one skilled in the art that following dilution, the range of weight percent ratios of the components disclosed herein should remain unchanged.

The compositions described herein may have utility in applications including, but not limited to, post-etch residue removal, post-ash residue removal surface preparation, post-plating cleaning and post-CMP residue removal.

The cleaning compositions described herein are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, e.g., the individual parts of the multi-part formulation may be mixed at the tool or in a storage tank upstream of the tool. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.

Accordingly, another aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions described herein. The kit may include, in one or more containers, at least one corrosion inhibitor, any of the components in the embodiments introduced herein, and optionally at least one additional corrosion inhibitor, for combining with additional solvent, e.g., water, at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said cleaning compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the cleaning composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended cleaning composition to a process tool.

Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).

Regarding the containers for the kits, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Pat. No. 7,188,644 entitled “APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;” U.S. Pat. No. 6,698,619 entitled “RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;” U.S. Patent Application No. 60/916,966 entitled “SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION” filed on May 9, 2007 in the name of John E. Q. Hughes, and PCT/US08/63276 entitled “SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION” filed on May 9, 2008 in the name of Advanced Technology Materials, Inc.

Proposed kits include, in one container, at least one amine, at least one quaternary base, at least one antioxidant, at least one alcohol (when present), and at least one additional corrosion inhibitor (when present), and optionally water, for combining with the diluent, e.g., water, at the fab or the point of use. In the alternative, the kit may include two containers, one container including the at least one amine, at least one quaternary base, at least one alcohol (when present), and some water, and the other container including at least one antioxidant, at least one additional corrosion inhibitor (when present), and water. In another alternative, the kit may include three containers, one container including the at least one amine, at least one quaternary base, at least one alcohol (when present), and some water, a second container including at least one antioxidant, and water, and a third container including at least one additional corrosion inhibitor and water. In yet another alternative, each component is present in its own container wherein additional water is present in the at least one antioxidant and the at least one additional corrosion inhibitor (when present) containers. Water may optionally be added to the at least one amine, the at least one quaternary base, and the at least one alcohol (when present) containers. In each case, additional water may be added directly to the container system and/or at a subsequent blending/dilution vessel.

As applied to microelectronic manufacturing operations, the cleaning compositions described herein are usefully employed to clean post-CMP residue and/or contaminants from the surface of the microelectronic device. The cleaning compositions do not damage low-k dielectric materials or corrode metal interconnects on the device surface. Preferably the cleaning compositions remove at least 85% of the residue present on the device prior to residue removal, more preferably at least 90%, even more preferably at least 95%, and most preferably at least 99%.

In residue removal application, the composition is applied in any suitable manner to the device to be cleaned, e.g., by spraying the composition on the surface of the device to be cleaned, by dipping (in a volume of the composition) the device to be cleaned, by contacting the device to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the device to be cleaned. Further, batch or single wafer processing is contemplated herein. In post-CMP residue and contaminant cleaning application, the cleaning composition may be used with a large variety of conventional cleaning tools such as megasonics and brush scrubbing, including, but not limited to, Verteq single wafer megasonic Goldfinger, OnTrak systems DDS (double-sided scrubbers), SEZ or other single wafer spray rinse, Applied Materials Mirra-Mesa™/Reflexion™/Reflexion LK™, and Megasonic batch wet bench systems.

In use of the compositions described herein for cleaning post-CMP residue, post-etch residue, post-ash residue and/or contaminants from microelectronic devices having same thereon, the cleaning composition typically is contacted with the device for a time of from about 5 sec to about 10 minutes, preferably about 1 sec to 20 min, preferably about 5 sec to about 10 min at temperature in a range of from about 20° C. to about 90° C., preferably about 20° C. to about 50° C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to at least partially clean the post-CMP residue/contaminants from the device, within the broad practice of the method. “At least partially clean” and “substantial removal” both correspond to at removal of at least 85% of the residue present on the device prior to residue removal, more preferably at least 90%, even more preferably at least 95%, and most preferred at least 99%

Following the achievement of the desired cleaning action, the cleaning composition may be readily removed from the device to which it has previously been applied, as may be desired and efficacious in a given end use application of the compositions described herein. Preferably, the rinse solution includes deionized water. Thereafter, the device may be dried using nitrogen or a spin-dry cycle.

Advantageously, some of the antioxidants introduced herein undergo visible color changes as they are consumed, which provides a way for the user to monitor the efficacy of the cleaning composition bath. Monitoring means include, but are not limited to, visual and spectrophotometric means. As defined herein, an “endpoint” corresponds to a range whereby the cleaning composition is no longer efficiently and productively removing the materials to be removed from the microelectronic device, e.g., post-CMP residue. The endpoint can be the result of many different factors including, but not limited to, a saturated (e.g., loaded) cleaning composition, and/or the exhaustion of one or more components of the cleaning composition.

Accordingly, another aspect includes a method of identifying an endpoint of a cleaning composition, said method comprising:

Yet another aspect relates to the improved microelectronic devices made according to the methods described herein and to products containing such microelectronic devices.

Another aspect relates to a recycled cleaning composition, wherein the cleaning composition may be recycled until residue and/or contaminant loading reaches the maximum amount the cleaning composition may accommodate, as readily determined by one skilled in the art.

A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting the microelectronic device with a cleaning composition for sufficient time to clean post-CMP residue and contaminants from the microelectronic device having said residue and contaminants thereon, and incorporating said microelectronic device into said article, using a cleaning composition described herein.

In still another aspect, a method of cleaning semiconductor tool parts is described, said method comprising contacting said tool parts with a composition for sufficient time to clean said parts, wherein the composition includes at least one amine, at least one quaternary base, at least one antioxidant, optionally at least one alcohol, and optionally at least one additional corrosion inhibitor. In cleaning application, the composition is applied in any suitable manner to the tool part to be cleaned, e.g., by spraying the composition on the surface of the tool part to be cleaned, by dipping (in a volume of the composition) the tool part to be cleaned, by contacting the tool part to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the composition, or by any other suitable means, manner or technique by which the composition is brought into removal contact with the tool part to be cleaned. Typically, tool parts include many of the same residual and particulate material that is to be removed from the microelectronic device, e.g., post-CMP residue and contaminants, post-etch residue, post-ash residue, and combinations thereof.

The features and advantages are more fully shown by the illustrative examples discussed below.

Blanketed PVD copper wafers were immersed in solutions including a basic solution comprising TMAH, 1-amino-2-propanol, and different antioxidants and the corrosion rate of copper determined using a potentiostat where the PVD Cu is the working electrode, Pt mesh is the counter electrode, and an Ag/AgCl electrode is the reference electrode. The copper anodic corrosion rates were calculated at anodic voltage biases from 0.1 to 1.0 V versus open circuit potentials. The results are summarized in Table 1 below.

Corrosion
Antioxidant Solution rate/Å min−1
Control (TMAH + 1- 12.28
amino-2-propanol) 15.03
Ascorbic acid 12 mL of 3500 ppm ascorbic acid in 2.72
200 g basic solution
18 mL of 3500 ppm ascorbic acid in 2.62
200 g basic solution
24 mL of 3500 ppm ascorbic acid in 2.99
200 g basic solution
uric acid 22 mL of 3500 ppm uric acid in 300 g 17.47
basic solution
22 mL of 3500 ppm uric acid in 300 g 13.43
basic solution
25 mL of 35000 ppm uric acid in 300 7.57
g basic solution
Uric acid + oxalic 25 mL of 35000 ppm uric 6.94
acid (2% oxalic in 300 g basic solution)
25 mL of 35000 ppm uric 6.18
(2% oxalic in 300 g basic solution)
succinic acid 25 mL of 3500 ppm succinic acid in 15.05
300 g basic solution
25 mL of 3500 ppm succinic acid in 20.49
300 g basic solution
adenosine 800 ppm adenosine in the basic 2.36
solution
800 ppm adenosine in the basic 2.40
solution
800 ppm adenosine + 800 ppm 3.18
ascorbic acid in the basic solution
butylated 3.38 wt. % in the basic solution 14.40
hydroxytoluene
methylene 3.62 wt. % in the basic solution 16.80
diphosphonic acid
2-amino-ethyl- 2.6 wt. % in the basic solution 21.50
phosphonic acid

It can be seen that adenosine significantly reduced the corrosion rate of copper. Additional advantages include, but are not limited to, minimization of copper roughness and the stabilization of the copper (I) oxide surface subsequent to residue removal.

Compositions were employed for post-CMP cleaning of Sematech 854 pattern wafers having dried slurry and other PCMP residues on their surface. The wafer in each instance was cleaned on a Laurell Technologies Corporation (North Wales, Pa., USA) single wafer spin processor at 23° C. for 90 sec at 150 rpm using diluted removal concentrates A or D (concentrate D includes 5 wt. % TMAH; 9 wt. % 1-amino-2-propanol; 3.5 wt. % uric acid; 5 wt. % IPA; and 77.5 wt. % water), 30 sec at 150 rpm using deionized water, and 30 sec at 2500 rpm to spin dry the wafer. The cleaning compositions used were diluted 20 parts diluent (water) to 1 part removal concentrate prior to wafer processing.

Following treatment, each wafer was subjected to atomic force microscopic (AFM) imaging (Digital Instruments Dimension 5000 Scanning Probe Microscope, Woodbury, N.Y., USA) to evaluate surface roughening. For each wafer sample, three random copper pads located towards the center of the wafer piece were selected for AFM analysis. At each copper pad location, a 20 μm×20 μm region was scanned in tapping mode at a pixel density of 512×512 and a scan rate of 1.0 Hz.

The AFM images provide two RMS surface roughness measurements—one with the slurry particles which is indicate of the slurry contamination (Full Image) and one that excludes slurry particles and thus is indicative of the copper surface roughness (Partial Image).

Referring to FIGS. 1a, 1b, and 1c, which are scanning electron micrographs (SEM) at 6,000 times magnification of the control wafer, the wafer cleaned with formulation A, and the wafer cleaned with formulation D, respectively, it can be seen that the wafer following cleaning with diluted concentrate A and the wafer following cleaning with diluted concentrate D shows little difference. The post-CMP residue was substantially removed in both cases.

The AFM micrographs shown in FIGS. 2a and 2b, corresponding to the Partial Image of the wafer following cleaning with diluted concentrate A and diluted concentrate D, respectively, reveal that the addition of the second organic acid antioxidant compound (FIG. 3a—RMS roughness=0.443) provides the benefit of decreased copper surface roughening as compared to the formula without the second organic acid antioxidant compound (FIG. 3b—RMS roughness=0.778).

Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Zhang, Peng, Cooper, Emanuel, Boggs, Karl, Sonthalia, Prerna, Barnes, Jeffrey, Angst, David

Patent Priority Assignee Title
10844333, Dec 22 2015 BASF SE Composition for post chemical-mechanical-polishing cleaning
Patent Priority Assignee Title
5174816, Jun 14 1990 Mitsubishi Gas Chemical Company, Inc. Surface treating agent for aluminum line pattern substrate
5308745, Nov 06 1992 AVANTOR PERFORMANCE MATERIALS, INC Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
5466297, Aug 08 1991 NALCO CHEMICAL COMPANY PATENT & LICENSING DEPT Process for removal of primarily iron oxide deposits
5466389, Apr 20 1994 AVANTOR PERFORMANCE MATERIALS, INC PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
5489557, Jul 30 1993 OEM Group, LLC Methods for processing semiconductors to reduce surface particles
5498293, Jun 23 1994 AVANTOR PERFORMANCE MATERIALS, INC Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
5563119, Jan 26 1995 VERSUM MATERIALS US, LLC Stripping compositions containing alkanolamine compounds
5567574, Jan 10 1995 Mitsubishi Gas Chemical Company, Inc. Removing agent composition for photoresist and method of removing
5571447, Mar 20 1995 Air Products and Chemicals, Inc Stripping and cleaning composition
5597420, Jan 17 1995 VERSUM MATERIALS US, LLC Stripping composition having monoethanolamine
5612304, Jul 07 1995 OCG MICROELECTRONIC MATERIALS INC Redox reagent-containing post-etch residue cleaning composition
5855811, Oct 03 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
5863344, Dec 20 1995 SAMSUNG ELECTRONICS CO , LTD Cleaning solutions for semiconductor devices
5962384, Oct 28 1997 International Business Machines Corporation Method for cleaning semiconductor devices
5981454, Jun 21 1993 EKC TECHNOLOGY, INC Post clean treatment composition comprising an organic acid and hydroxylamine
5988186, Jan 25 1991 VERSUM MATERIALS US, LLC Aqueous stripping and cleaning compositions
5989353, Oct 11 1996 AVANTOR PERFORMANCE MATERIALS, LLC Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
5997658, Jan 09 1998 VERSUM MATERIALS US, LLC Aqueous stripping and cleaning compositions
6030932, Sep 06 1996 FUJIFILM ELECTRONIC MATERIALS U S A , INC Cleaning composition and method for removing residues
6143705, Jun 05 1996 Wako Pure Chemical Industries, Ltd. Cleaning agent
6156661, Jun 21 1993 DUPONT AIR PRODUCTS NANOMATEIRALS L L C Post clean treatment
6194366, Nov 16 1999 MORGAN STANLEY SENIOR FUNDING, INC Post chemical-mechanical planarization (CMP) cleaning composition
6228823, Jul 27 1995 Mitsubishi Chemical Corporation Method for treating surface of substrate and surface treatment composition used for the same
6395693, Sep 27 1999 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
6410494, Jun 05 1996 Wako Pure Chemical Industries, Ltd. Cleaning agent
6413923, Nov 15 1999 FUJIFILM ELECTRONIC MATERIALS U S A , INC Non-corrosive cleaning composition for removing plasma etching residues
6440326, Aug 13 1998 MITSUBISHI GAS CHEMICAL COMPANY, INC Photoresist removing composition
6492308, Nov 16 1999 MORGAN STANLEY SENIOR FUNDING, INC Post chemical-mechanical planarization (CMP) cleaning composition
6514434, Jun 16 2000 Corning Incorporated Electro-optic chromophore bridge compounds and donor-bridge compounds for polymeric thin film waveguides
6514921, Jun 05 1996 Wako Pure Chemical Industries, Ltd. Cleaning agent
6541434, Sep 27 1999 Cabot Microelectronics Corporation Cleaning solution for semiconductor surfaces following chemical-mechanical polishing
6585825, May 18 1998 AVANTOR PERFORMANCE MATERIALS, LLC Stabilized alkaline compositions for cleaning microelectronic substrates
6599370, Oct 16 2000 AVANTOR PERFORMANCE MATERIALS, LLC Stabilized alkaline compositions for cleaning microelectronic substrates
6627587, Apr 19 2001 MORGAN STANLEY SENIOR FUNDING, INC Cleaning compositions
6646082, Sep 04 2001 Rohm and Haas Company Corrosion inhibiting compositions
6723691, Nov 16 1999 MORGAN STANLEY SENIOR FUNDING, INC Post chemical-mechanical planarization (CMP) cleaning composition
6749998, Oct 07 1993 AVANTOR PERFORMANCE MATERIALS, INC Photoresist strippers containing reducing agents to reduce metal corrosion
6786945, Feb 20 2001 Hitachi Chemical Co., Ltd. Polishing compound and method for polishing substrate
6787480, Feb 21 2001 Renesas Electronics Corporation Manufacturing method of semicondcutor device
6869921, Aug 03 2001 KANTO CHEMICAL CO , INC Stripping composition
6982188, Dec 03 2003 Cypress Semiconductor Corporation Post CMP precursor treatment
6992050, Jun 28 2000 NEC Corporation Stripping agent composition and method of stripping
7087564, Mar 05 2004 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
7118685, Jul 13 1999 Kao Corporation Polishing liquid composition
7160432, Mar 14 2001 Applied Materials, Inc Method and composition for polishing a substrate
7235188, Oct 22 2002 EKC TECHNOLOGY, INC Aqueous phosphoric acid compositions for cleaning semiconductor devices
7250391, Jul 12 2002 Renesas Electronics Corporation Cleaning composition for removing resists and method of manufacturing semiconductor device
7365045, Mar 30 2005 MORGAN STANLEY SENIOR FUNDING, INC Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
7375066, Mar 21 2000 FUJIFILM ELECTRONIC MATERIALS CO , LTD Semiconductor wafer cleaning agent and cleaning method
7396806, Jun 16 2000 Kao Corporation Semiconductor cleaner comprising a reducing agent, dispersant, and phosphonic acid-based chelant
7476620, Mar 25 2005 VERSUM MATERIALS US, LLC Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
7498295, Feb 12 2004 AIR LIQUIDE ELECTRONICS U S LP Alkaline chemistry for post-CMP cleaning comprising tetra alkyl ammonium hydroxide
7563754, Jun 29 2004 Kanto Kagaku Kabushiki Kaisha Composition for removing photoresist residue and polymer residue
7671001, Oct 29 2003 AVANTOR PERFORMANCE MATERIALS, INC Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
7723280, Jul 28 2005 Rohm and Haas Electronic Materials, LLC Stripper for electronics
8685909, Sep 21 2006 MORGAN STANLEY SENIOR FUNDING, INC Antioxidants for post-CMP cleaning formulations
8759268, Aug 24 2006 Daikin Industries, Ltd Solution for removing residue after semiconductor dry process and method of removing the residue using the same
9063431, Jul 16 2010 MORGAN STANLEY SENIOR FUNDING, INC Aqueous cleaner for the removal of post-etch residues
9074170, Oct 21 2008 MORGAN STANLEY SENIOR FUNDING, INC Copper cleaning and protection formulations
20010004633,
20020077259,
20030096500,
20030158059,
20040029051,
20040180300,
20040220065,
20050112892,
20050126588,
20050181961,
20050183740,
20050197265,
20050205835,
20050206005,
20050284844,
20050288199,
20060016785,
20060019201,
20060073997,
20060148666,
20060166847,
20070060490,
20080004197,
20080047592,
20080076688,
20090032766,
20090118153,
20090301996,
20100056409,
20100286014,
EP647884,
EP773480,
EP1263300,
JP11271985,
JP200198258,
JP2002097584,
JP2002099101,
JP2003292117,
JP2003536258,
JP2005043873,
JP63274149,
JP7201794,
JP7219241,
JP7247498,
JP8202052,
TW454574,
WO160179,
WO195381,
WO197268,
WO2006127885,
WO2007092800,
WO2008023754,
WO2008039730,
WO9408276,
WO9816330,
WO9960448,
/////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Jan 13 2015Entegris, Inc.(assignment on the face of the patent)
Nov 28 2016Advanced Technology Materials, IncEntegris, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0410290903 pdf
Nov 06 2018Entegris, IncGoldman Sachs Bank USASECURITY INTEREST SEE DOCUMENT FOR DETAILS 0488110679 pdf
Nov 06 2018SAES PURE GAS, INC Goldman Sachs Bank USASECURITY INTEREST SEE DOCUMENT FOR DETAILS 0488110679 pdf
Oct 31 2019Goldman Sachs Bank USAMORGAN STANLEY SENIOR FUNDING, INC ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL FRAME 048811 06790509650035 pdf
Date Maintenance Fee Events
Sep 22 2017M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Sep 23 2021M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
Jun 06 20204 years fee payment window open
Dec 06 20206 months grace period start (w surcharge)
Jun 06 2021patent expiry (for year 4)
Jun 06 20232 years to revive unintentionally abandoned end. (for year 4)
Jun 06 20248 years fee payment window open
Dec 06 20246 months grace period start (w surcharge)
Jun 06 2025patent expiry (for year 8)
Jun 06 20272 years to revive unintentionally abandoned end. (for year 8)
Jun 06 202812 years fee payment window open
Dec 06 20286 months grace period start (w surcharge)
Jun 06 2029patent expiry (for year 12)
Jun 06 20312 years to revive unintentionally abandoned end. (for year 12)