Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The inlet end is connectable to an inlet gas source and the outlet end is connectable with a vacuum source. Also provided are gas distribution apparatus with spiral delivery channels, intertwined spiral delivery channels, splitting delivery channels, merging delivery channels and shaped delivery channels in which an inlet end and outlet end are configured for rapid exchange of gas within the delivery channels.

Patent
   RE47440
Priority
Oct 19 2011
Filed
Aug 16 2017
Issued
Jun 18 2019
Expiry
Oct 17 2032
Assg.orig
Entity
Large
248
84
currently ok
1. A gas distribution apparatus for controlling flow of gas into a process chamber, comprising:
a spiral delivery channel having an inlet end, an outlet end and a length, the delivery channel having a plurality of apertures spaced along the length;
an inlet on the inlet end of the delivery channel, the inlet connectable to a gas source, wherein flow of the gas is controllable by a gas valve in communication with the inlet; and
an outlet on the outlet end of the delivery channel, the outlet connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet; and
a controller to regulate the flow of the gas through the delivery channel and into the process chamber by opening and closing the outlet valve during gas delivery and gas purging in the channel to control the flow of gas through the apertures along the length of the channel.
0. 27. A gas distribution apparatus, comprising:
a plate having a front surface and a back surface;
a first recessed channel formed in the back surface of the plate and extending from a central region of the back surface to an outer peripheral region of the back surface;
a second recessed channel formed in the back surface of the plate and extending from the central region of the back surface to the outer peripheral region of the back surface;
a first plurality of apertures along the first recessed channel, each of the first plurality of apertures extending through the plate from the front surface to the first recessed channel; and
a second plurality of apertures along the second recessed channel, each of the second plurality of apertures extending through the plate from the front surface to the second recessed channel, wherein
the first recessed channel and the second recessed channel are intertwined, and
a surface of the first recessed channel and a surface of the second recessed channel each further comprise an upper portion that is disposed between a lower portion and the back surface, and the lower portion having a rounded shape.
24. A gas distribution apparatus, comprising:
a spiral gas delivery channel recessed in a back side of a gas distribution plate, the recessed gas delivery channel having an inlet end, an outlet end and a length, the gas delivery channel having a plurality of apertures spaced along the length extending through the gas distribution plate to a front side of the gas distribution plate so that gas flowing through the gas delivery channel can pass through the apertures exiting the gas distribution plate;
a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel;
an inlet connected to the inlet end of the gas delivery channel through the back cover, the inlet connectable to a gas source, wherein a flow of gas is controllable by a gas valve in communication with the inlet;
an outlet connected to the outlet end of the gas delivery channel through the back cover, the outlet connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet; and
a controller to regulate the flow of the gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel.
2. The gas distribution apparatus of claim 1, wherein a flow of gas through the gas distribution apparatus has a more uniform conductance along an axial length of the gas distribution apparatus than the flow of gas through a similar gas distribution apparatus without the vacuum source connected to the outlet.
3. The gas distribution apparatus of claim 1, wherein when the gas valve is closed, the gas is purged from the delivery channel faster than a similar gas distribution apparatus without the vacuum source.
4. The gas distribution apparatus of claim 1, wherein the delivery channel is a recessed channel in a back side of a gas distribution plate and the plurality of apertures extend through the gas distribution plate to a front side of the gas distribution plate.
5. The gas distribution apparatus of claim 4, wherein the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
6. The gas distribution apparatus of claim 3 4, wherein the inlet end is positioned at an outer peripheral region of the gas distribution plate and the outlet end is positioned at a central region of the gas distribution plate.
7. The gas distribution apparatus of claim 3 4, wherein the outlet end is positioned at an outer peripheral region of the gas distribution plate and the inlet end is positioned at a central region of the gas distribution plate.
8. The gas distribution apparatus of claim 4, wherein there are two delivery channels recessed in the back side of the gas distribution plate.
9. The gas distribution apparatus of claim 8, wherein each of the delivery channels forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
10. The gas distribution apparatus of claim 9, wherein the two delivery channels are intertwined along the spiral shape.
11. The gas distribution apparatus of claim 9, wherein each delivery channel has the inlet end positioned in the outer periphery region of the gas distribution plate and the outlet end positioned in the central region of the gas distribution plate.
12. The gas distribution apparatus of claim 9, wherein each delivery channel has the outlet end positioned in the outer periphery region of the gas distribution plate and the inlet end positioned in the central region of the gas distribution plate.
13. The gas distribution apparatus of claim 9, wherein inlet end of one delivery channel is positioned in the outer periphery region of the gas distribution plate and the outlet end of the other delivery channel is positioned in the outer periphery region of the gas distribution plate.
14. The gas distribution apparatus of claim 4, further comprising a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel.
15. The gas distribution apparatus of claim 1, wherein the delivery channel is a tubular spiral having a substantially planar shape.
16. The gas distribution apparatus of claim 15, wherein the gas distribution apparatus comprises a plurality of delivery channels.
17. The gas distribution apparatus of claim 16, wherein more than one of the delivery channels are connected to the inlet so that a gas flowing through the inlet flows through each of the delivery channels.
18. The gas distribution apparatus of claim 17, wherein each of the delivery channels connected to the inlet merge and are connected to one outlet.
19. The gas distribution apparatus of claim 17, wherein each of the delivery channels connected to the inlet has a separate outlet connected to a separate outlet valve.
20. The gas distribution apparatus of claim 19, wherein the controller independently adjusts each of the outlet valves to maintain a substantially uniform flow of gas through each of the delivery channels.
21. The gas distribution apparatus of claim 16, wherein the plurality of delivery channels are shaped so that the a hole pattern seen by a substrate is uniform across the gas distribution apparatus.
22. A processing chamber comprising the gas distribution apparatus of claim 1.
23. The processing chamber of claim 22, wherein the gas distribution apparatus comprises a tubular spiral having a substantially planar shape, the gas distribution apparatus positioned between a substrate support and a gas distribution plate.
25. The gas distribution apparatus of claim 24, wherein the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
26. The gas distribution apparatus of claim 25, wherein there are two delivery channels recessed in the back side of the gas distribution plate, the two delivery channels intertwined along the spiral shape.
0. 28. The gas distribution apparatus of claim 27, wherein the rounded shape is a half-round shape or a half-elliptical shape.
0. 29. The gas distribution apparatus of claim 27, wherein the first plurality of apertures extends from the lower portion of the surface of the first recessed channel to the front surface.
0. 30. The gas distribution apparatus of claim 29, wherein the second plurality of apertures extends from the lower portion of the surface of the second recessed channel to the front surface.
0. 31. The gas distribution apparatus of claim 27, wherein each aperture of the first plurality of apertures and the second plurality of apertures comprises a first section having a first diameter, a second section, and a third section that has a second diameter, wherein the second section is between the first and third sections and has a shape that tapers from the first diameter to the second diameter.

This application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Application No. 61/548,942, filed Oct. 19, 2011.

Embodiments of the invention generally relate to an apparatus and a method for flowing a gas into a processing chamber. More specifically, embodiments of the invention are directed to linear flow apparatus for directing a flow of gas to a processing chamber such as an atomic layer deposition chamber or chemical vapor deposition chamber.

In the field of semiconductor processing, flat-panel display processing or other electronic device processing, vapor deposition processes have played an important role in depositing materials on substrates. As the geometries of electronic devices continue to shrink and the density of devices continues to increase, the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 0.07 μm and aspect ratios of 10 or greater. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important.

During an atomic layer deposition (ALD) process, reactant gases are introduced into a process chamber containing a substrate. Generally, a region of a substrate is contacted with a first reactant which is adsorbed onto the substrate surface. The substrate is then contacted with a second reactant which reacts with the first reactant to form a deposited material. A purge gas may be introduced between the delivery of each reactant gas to ensure that the only reactions that occur are on the substrate surface.

Gas distribution apparatus, sometimes shaped like and referred to as showerheads, distribute processing gases to a substrate (also referred to as a wafer) at close proximity. Gas distribution apparatuses, including showerheads, have large volumes which can be very difficult to clean or purge between gases. Any gases remaining in the showerhead may react with subsequent processing gases. For ALD processes, separation of gases is important within a gas distribution apparatus, including showerheads, that relies on alternating pulses of gases, for example, an A pulse, a B pulse, an A pulse, and a B pulse type delivery. Therefore, there is an ongoing need in the art for improved gas distribution apparatuses, including showerheads, that are easy to clean/purge and provide a uniform supply of gases to the substrate.

One or more embodiments of the invention are directed to gas distribution apparatuses for controlling flow of gas into a process chamber. The apparatus comprises a delivery channel having an inlet end, an outlet end, a length and a plurality of apertures spaced along the length. An inlet on the inlet end of the delivery channel is connectable to a gas source, wherein flow of the gas is controllable by a gas valve in communication with the inlet. An outlet on the outlet end of the delivery channel is connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet. A controller to regulate the flow of the gas through the delivery channel and into the process chamber by opening and closing the outlet valve during gas delivery and gas purging in the channel to control the flow of gas through the apertures along the length of the channel.

In some embodiments, a flow of gas through the gas distribution apparatus has a more uniform conductance along an axial length of the gas distribution apparatus than the flow of gas through a similar gas distribution apparatus without the vacuum source connected to the outlet. In one or more embodiments, when the gas valve is closed, the gas is purged from the delivery channel faster than a similar gas distribution apparatus without the vacuum source.

In some embodiments, the delivery channel is a recessed channel in a back side of a gas distribution plate and the plurality of apertures extend through the gas distribution plate to a front side of the gas distribution plate.

In one or more embodiments, the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end is positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In some embodiments, the inlet end is positioned at the outer peripheral region of the gas distribution plate and the outlet end is positioned at the central region of the gas distribution plate. In one or more embodiments, the outlet end is positioned at the outer peripheral region of the gas distribution plate and the inlet end is positioned at the central region of the gas distribution plate.

In some embodiments, there are two delivery channels recessed in the back side of the gas distribution plate. In some embodiments, each of the delivery channels forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In one or more embodiments, the two delivery channels are intertwined along the spiral shape. In certain embodiments, each delivery channel has the inlet end positioned in the outer periphery region of the gas distribution plate and the outlet end positioned in the central region of the gas distribution plate. In some embodiments, each delivery channel has the outlet end positioned in the outer periphery region of the gas distribution plate and the inlet end positioned in the central region of the gas distribution plate. In one or more embodiments, the inlet end of one delivery channel is positioned in the outer periphery region of the gas distribution plate and the outlet end of the other delivery channel is positioned in the outer periphery region of the gas distribution plate.

In some embodiments, the gas distribution apparatus further comprises a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel. In one or more embodiments the delivery channel is a tubular spiral having a substantially planar configuration. In some embodiments, the gas distribution apparatus comprises a plurality of delivery channels, each delivery channel extending substantially straight and substantially parallel to adjacent delivery channels.

In one or more embodiments, more than one of the delivery channels are connected to the inlet so that a gas flowing through the inlet flows through each of the delivery channels. In some embodiments, each of the delivery channels connected to the inlet merge and are connected to one outlet. In some embodiments, each of the delivery channels connected to the inlet has a separate outlet connected to a separate outlet valve. In one or more embodiments, the controller independently adjusts each of the outlet valves to maintain a substantially uniform flow of gas through each of the delivery channels. In an embodiment, the plurality of delivery channels are shaped to form one or more of words or logos.

In some embodiments, the plurality of delivery channels are shaped so that the hole pattern seen by a substrate is uniform across the gas distribution apparatus.

Additional embodiments of the invention are directed to processing chambers comprising the gas distribution apparatus described. In some embodiments, the gas distribution apparatus comprises a tubular spiral having a substantially planar configuration, the gas distribution apparatus positioned between a substrate support and a gas distribution plate.

Additional embodiments of the invention are directed to gas distribution apparatus, comprising a gas distribution plate, a back cover, an inlet, an outlet and a controller. A gas delivery channel is recessed in a back side of a gas distribution plate. The recessed gas delivery channel has an inlet end, an outlet end, a length and a plurality of apertures spaced along the length extending through the gas distribution plate to a front side of the gas distribution plate so that gas flowing through the gas delivery channel can pass through the apertures exiting the gas distribution plate. The back cover is on the back side of the gas distribution plate covering the recessed channel. The inlet is connected to the inlet end of the gas delivery channel through the back cover. The inlet is connectable to a gas source, wherein a flow of gas is controllable by a gas valve in communication with the inlet. An outlet is connected to the outlet end of the gas delivery channel through the back cover. The outlet is connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet. The controller regulates the flow of gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel.

In some embodiments, the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end is positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In one or more embodiments, there are two delivery channels recessed in the back side of the gas distribution plate, the two delivery channels intertwined along the spiral shape.

Further embodiments of the invention are directed to gas distribution apparatuses comprising a plurality of elongate delivery channels. Each delivery channel extends from an inlet end along a length to an outlet end and has a plurality of apertures spaced along the length. The inlet end is connectable to a gas source, wherein flow of gas is controllable by a gas valve in communication with the inlet end. The outlet end is connectable to a vacuum source, wherein vacuum pressure through the outlet end is controllable by an outlet valve to provide a reduced pressure at the outlet end. A plurality of elongate vacuum channels with each channel extending along a length. A controller regulates the flow of gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel. The plurality of apertures of each delivery channel are separated from the plurality of apertures of an adjacent delivery channel by at least one elongate vacuum channel.

So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 shows a view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 2 shows a view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 3 shows a view of a processing chamber including one or more gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 4 shows a top view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 5 shows a cross-section of a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention

FIG. 6 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 7 shows a bottom view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 8 shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments,

FIG. 9 shows a top view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 10 shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 11 shows a view of an exploded partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention

FIG. 12 shows a cross-section of a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention

FIG. 13 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 14 shows a bottom view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 15 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 16A shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 16B shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 17 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 18 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 19 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 20 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 21 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;

FIG. 22A shows a portion of a back side of a gas distribution apparatus in accordance with one or more embodiments of the invention; and

FIG. 22B shows the front side of the gas distribution apparatus of FIG. 22A.

Embodiments of the invention are directed to gas distribution apparatus for use in chemical vapor deposition type processes. One or more embodiments of the invention are directed to atomic layer deposition processes and apparatus (also called cyclical deposition) incorporating the gas distribution apparatus described. The gas distribution apparatus described may be referred to as a showerhead or gas distribution plate, but it will be recognized by those skilled in the art that the apparatus does not need to be shaped like a showerhead or plate. The terms “showerhead” and “plate” should not be taken as limiting the scope of the invention.

A first embodiment of the invention is directed to an apparatus with a single spiral gas delivery channel. All gases flow sequentially through the same channel. An inlet is on the outer radial edge of the spiral, also referred to as the outer periphery, and may be attached to a gas source. A vacuum attachment is connected to the internal end of the spiral. The inlet and outlet can be reversed, either the gas source can be connected to the inside of the spiral with the outlet valve at the outside end of the spiral. The first embodiment can be used with a sequence as shown in Table 1.

TABLE 1
Step Gas Source Outlet Valve
1 Precursor A Closed
2a Purge Closed
2b Purge Open
2c Purge Closed
3 Precursor B Closed

A second embodiment has two spiral channels intertwined. Each channel has a gas inlet on the outer radial end of the spiral and an outlet valve on the inner radial end of each spiral. The inlet and outlet can be reversed or mixed. The different channels can be used for different precursors.

In a third set of embodiments, the channel is a linear gas line. The linear gas line can take any suitable shape, not just linear. There can be multiple linear gas lines for different precursors. Some embodiments have multiple parallel paths for all gases in sequence, where conductance of the gas channels are substantially the same.

In one or more embodiments, in an individual channel, conductance of the gas through the channel and through the apertures is controlled by modulating or changing the vacuum pressure at the outlet end. Changing the vacuum pressure in turn creates a unique flow dynamic that cannot be achieved in conventional gas distribution apparatus. In some embodiments, a more uniform gas flow is provided along the length of each channel and through the apertures spaced along the length of the channel. A uniform gas flow according to one or more embodiments means that there is substantially no dead space that inhibits flow or pumping of gasses through the channel. The provision of a vacuum with or without a valve on one end of the channel with a valve at the other end of the channel permits rapid switching between different types of gases, such as precursor or reactant gases.

In some embodiments, the vacuum at the end of the gas delivery channel enables the rapid purging of gases from within the channel. A purge gas source can be connected to the inlet of the gas delivery channel and work cooperatively with the vacuum at the outlet of the channel to even more rapidly remove the reactive gases from the channel. Additionally, vacuum ports can be spaced along the length of the gas delivery channel, not just at the end of the channel.

Embodiments of the invention may be capable of increasing the conductance of gas through the holes spaced along the gas delivery channel. Without being bound by any particular theory of operation, it is believed that controlling the vacuum pressure at the outlet end, or in the middle, of the channel changes the flow dynamics relative to a conventional showerhead or gas distribution plate.

Referring to FIGS. 1 and 2, one or more embodiments are directed to gas distribution apparatus 100 to deliver a gas to a process chamber (not shown). The gas distribution apparatus 100 comprises a delivery channel 102 with an inlet end 104 and an outlet end 106. The delivery channel 102 has a plurality of apertures 108 spaced along the length of the delivery channel 102. An inlet 110 is connected to and in fluid communication with the inlet end 104 of the delivery channel 102. An outlet 112 is connected to and in fluid communication with the outlet end 106 of the delivery channel 102. The inlet 110 is adapted to be connected to a gas source and may include an inlet valve 114 capable of controlling the flow of gas into (or out of) the delivery channel 102 or completely cut off the flow of gas. The outlet 112 is adapted to be connected to a vacuum source and may include an outlet valve 116 capable of controlling the flow of gas into (or out of) the delivery channel 102 or completely cut off the flow of gas. The outlet 112 is connectable to a vacuum source (not shown) so that vacuum pressure through the outlet 112 is controllable by the outlet valve 116 to provide a reduced pressure at the outlet 112.

A controller 150 regulates the flow of the gas through the delivery channel 102 and into the process chamber. The controller 150 does this by opening or closing (or any point in between fully open and fully closed) the outlet valve during gas delivery and gas purging. This controls the flow of gas through apertures (seen, for example, in FIG. 4) spaced along the length of the channel.

The cross-sectional shape of the delivery channel 102 can be controlled such that gas flowing through the delivery channel experiences minimal resistance to flow. In some embodiments, the delivery channel 102 has a round or oval cross-sectional shape. In one or more embodiments, the delivery channel 102 has a cross-sectional shape sufficient such that bends, turns, twists, etc. provide substantially no dead space.

The overall shape (as opposed to the cross-sectional shape) of the delivery channel 102 can be modified as desired. For example, the delivery channel 102 can be shaped to fit within specific areas or to match the shape of a substrate. The delivery channel 102 can be, for example, straight, round, square, oval, rectangular or oblong. Additionally, the overall shape of the delivery channel can be made up of repeating units, parallel, perpendicular or concentric to each other. In one or more embodiments, the delivery channel has an overall shape in which there is substantially no dead space to inhibit gas flow or purging. As used in this specification and the appended claims, the term “substantially no dead space” means that the flow of gas, or purging, is inhibited by less than about 10% or by less than about 5% due to dead space.

In some embodiments, the delivery channel 102 is a tubular spiral having a substantially planar configuration. This particular shape is exemplified by the embodiment shown in FIGS. 1 and 2. As used in this specification and the appended claims, the term “substantially planar configuration” means that the plurality of apertures 108 in the delivery channel 102 are in mostly the same plane. The embodiment shown in FIGS. 1 and 2 has a substantially planar configuration because the apertures are coplanar, even though the inlet end and outlet end, and the portions of the delivery channel near the inlet end and outlet end are not coplanar with the plurality of apertures.

The delivery channel 102 can be used for plasma processing. For example, the delivery channel 102 can be polarized relative to another portion of the processing chamber to ignite a plasma within the chamber. The delivery channel 102 can be biased relative to a portion of the chamber, or a portion of the chamber can be biased relative to the delivery channel 102. For example, the delivery channel 102 can be polarized relative to the pedestal, or the pedestal can be polarized relative to the delivery channel. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.

Any suitable material can be used for the delivery channel, showerhead or gas distribution apparatus. Suitable materials include, but are not limited to stainless steel and inert materials. In some embodiments, the delivery channel, showerhead or gas distribution plate is made of stainless steel.

FIG. 3 shows a cross-section of a portion of a processing chamber according to one or more embodiments. A gas distribution apparatus 100 is placed between a substrate support pedestal 302 and a gas distribution plate 306. The substrate support pedestal 302 is shown supporting a substrate 304. The substrate support pedestal 302 can be stationary or rotating, or can be stationary for part of the processing and rotating for part of the processing. A rotating support pedestal 302 may allow for more uniform processing of a substrate by minimizing different gas flow patterns that may occur throughout the processing chamber. The support pedestal 302 of some embodiments includes a heater or heating mechanism. The heater can be any suitable type of heater including resistive heaters.

The gas distribution apparatus 100 is shown as a tubular spiral with a substantially planar configuration. The substrate 304 can be processed with either, or both, the gas distribution plate 306 and the gas distribution apparatus 100. The gas distribution apparatus 100 can be shaped so that it does not substantially interfere with gas flowing from the gas distribution plate 306. As used in this specification and the appended claims, the term “substantially interfere” means that the gas distribution apparatus 100 does not interfere with more than about 30% of the gas flowing from the gas distribution plate. For example, the front surface 308 of the gas distribution plate 306 has a plurality of apertures 310 through which gases flow. The gas distribution apparatus 100 can be shaped to avoid blocking the apertures 310.

The delivery channel positioned like that of FIG. 3 can also be used for plasma processing. The apparatus 100 can be polarized relative to a portion of the chamber, or a portion of the chamber can be polarized relative to the apparatus 100. For example, the delivery channel apparatus 100 can be polarized relative to the pedestal 302, or the pedestal 302 can be polarized relative to the apparatus 100. In some embodiments, the apparatus 100 is polarized relative to the gas distribution plate 306. In one or more embodiments, the gas distribution plate 306 is polarized relative to the pedestal 302 and gas flowing from the apparatus 100 forms the plasma. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.

FIGS. 4 through 7 show another embodiment of a gas distribution apparatus 400 in which the delivery channel 402 is a recessed channel in the back side 401 of a gas distribution plate 403. The embodiment shown has a large inner section is recessed in the back side 401 of the gas distribution plate 403 with the delivery channel 402 recessed even further. This allows for the addition of a back cover 407 which can be placed in the recessed area in the back side 401 enclosing the delivery channel 402. The back cover 407, when inserted into the recessed back side 401 of certain embodiments creates a substantially flush back side surface of the gas distribution plate. It will be understood by those skilled in the art that the back cover 407 does not need to fit within a recessed area of the back side 401 of the gas distribution plate 403, but can also rest directly on the back side 401 of the gas distribution plate 403. In embodiments of this sort, there is no large recessed area with the delivery channels being further recessed. Instead, the delivery channels are recessed directly into the back side 401 of the gas distribution plate 403.

The back cover 407 may have openings to allow for the passage of inlet and outlet tubes to allow for fluid communication with the delivery channel 402. This can be seen in FIGS. 5 and 6. The inlet and outlet tubes can be an integral part of the back cover 407, or can be separate pieces connected to the back cover 407 in such a manner as to prevent or minimize fluid leakage. A plurality of apertures 408 extend through the gas distribution plate 403 to a front side 405 of the gas distribution plate 403. These apertures can be seen in FIGS. 4, 5 and 7. The plurality of apertures 408 can be evenly spaced along the length of the delivery channel, or can have varied spacing along the length of the channel. Variable spacing may help produce a more uniform gas flow from the delivery channel at points along the delivery channel. For example, in gas delivery channel that has an elaborate shape, the spacing of the apertures can varied along the length.

In the embodiment shown in FIGS. 4-7, the gas distribution plate 403 is round and the delivery channel 402 forms a spiral shape. The inlet end 404 is denoted at the outside of the spiral in an outer peripheral region 420 of the gas distribution plate 403 with the outlet end 406 at the center of the spiral in a central region 422 of the gas distribution plate 403. It will be understood by those skilled in the art that the inlet end 404 and outlet end 406 can be reversed with the inlet end 404 being located at the center of the spiral and the outlet end 406 at the outside of the spiral. In some embodiments, one of the inlet end 404 and outlet end 406 is positioned in an outer peripheral region 420 of the gas distribution plate 403 and the other of the inlet end 404 and outlet end 406 is positioned in a central region 422 of the gas distribution plate 403. In one or more embodiments, the inlet end 404 is positioned at the outer peripheral region 420 of the gas distribution plate 403 and the outlet end 406 is positioned at the central region 422 of the gas distribution plate 403. In certain embodiments, the outlet end 406 is positioned at the outer peripheral region 420 of the gas distribution plate 403 and the inlet end 404 is positioned at the central region 422 of the gas distribution plate 403.

In FIGS. 5 and 6, the inlet end 404 and outlet end 406 are illustrated as a small tube extending from the back cover 407 of the gas distribution plate 403. The tubes extend between the inlet 410 and the back cover 407 through an inlet valve 414. Another tube can extend between the outlet 412 and the back cover 407 through the outlet valve 416. The tubes can be connected to the back cover 407 by any suitable connection known to those skilled in the art and may be sealed to prevent leakage of fluid flowing through the tube into the delivery channel 402. Suitable sealing devices include, but are not limited to, o-rings positioned between a flange 424 and the back cover 407. The flange 424 can be integrally formed with the tube or can be a separate piece that holds the tube to the back cover. The flange 424 can be connected to the back cover 407 by any suitable mechanical connection, including but not limited to, screws.

FIG. 8 shows a cross-sectional view of one portion of a delivery channel 402 and an aperture 408 in a gas distribution plate 403 in accordance with one or more embodiments of the invention. It will be understood by those skilled in the art that the delivery channel and apertures described in FIG. 8 are merely illustrative and should not be taken as limiting the scope of the invention. Those skilled in the art will understand that there are other ways of creating flow from the delivery channel 402 through the gas distribution plate 403. The delivery channel 402 shown in FIG. 8 has two portions, an upper portion 832 and a lower portion 830. While these portions are shown as separate areas, it will be understood that there can be a seamless transition between the upper portion 832 and the rounded lower portion 830.

Additionally, it will be understood that the upper portion 832 is optional and does not need to be included in the delivery channel 402. When there is no upper portion 832, the lower portion 830 is the only portion. Thus, the delivery channel can have any suitable shape. In some embodiments, the shape of the delivery channel is such that there is substantially no interference with the flow of gases through the channel.

The upper portion 832 can have my suitable shape. In the embodiment shown in FIG. 8, the upper portion 832 has walls which extend normal to the surface of the back side 401 of the gas distribution plate 403. However, it will be understood that the upper portion 832 can have walls which are canted from square to the back side 401. The canting can provide a larger opening at the back side 401 of the gas distribution plate 403, tapering to a smaller opening. Additionally, the canting can provide a smaller opening at the back side 401, tapering to a larger opening. The length of the upper portion 832 can be modified as necessary.

In some embodiments, the upper portion has sides which are substantially perpendicular to the back side 401 of the gas distribution plate 403 and extend a length L below the surface of the back side 401 in the range of about 0.01 inch to about 0.3 inches. As used in this specification and the appended claims, the term “substantially perpendicular to” means that walls of the upper portion have an angle relative to the back side of the gas distribution plate in the range of about 85 degrees to about 95 degrees. In some embodiments, the upper portion extends below the surface of the back side to a length L in the range of about 0.02 inches to about 0.2 inches, or in the range of about 0.05 inches to about 0.15 inches, or in the range of about 0.08 inches to about 0.12 inches. In one or more embodiments, the upper portion extends below the surface of the back side to a length about 0.1 inches.

The rounded lower portion 830 can have any suitable cross-section including, but not limited to, half-round and half-elliptical. The width of the rounded lower portion, also referred to as the diameter of the rounded lower portion, can be modified as necessary. The width of the upper portion can be modified as necessary. The diameter of the delivery channel, in general, can have an impact of the number of loops in the spiral. In some embodiments, as shown in FIG. 8, the width of the upper portion is about equal to the diameter of the lower portion. The delivery channel of various embodiments has a diameter in the range of about 0.3 inches to about 0.45 inches, or in the range of about 0.325 inches to about 0.425 inches, or in the range of about 0.35 inches to about 0.40 inches. In one or more embodiments, the delivery channel has a diameter of about 0.375 inches.

The specific shape of the apertures 408 can vary depending on the desired flow of gases through the apertures. In the embodiment of FIG. 8, the aperture 408 has three distinct sections; a first section 834, a second section 836 and a third section 838. Again, the number of sections and the shape of the sections are merely illustrative of one embodiment and should not be taken as limiting the scope of the invention. The first section 834 extends from the rounded lower portion 830 of the delivery channel 402 toward the front side 405 of the gas distribution plate 403. The first section 834 has a first diameter D1. The second section 836 extends from the first section 834 toward the front side 405 and has a diameter which tapers from the first diameter D1 to a second diameter D2, which is generally smaller than the first diameter. The third section 838 extends from the end of the second section 836 and ends at the front side 405 of the gas distribution plate 403. At the intersection of the third section 838 and the front side 405, a hole 840 is formed. Gases flowing through the delivery channel 402 exit the gas distribution plate 403 through this hole 840 into the processing chamber. The hole 840 has about the same diameter as the second diameter D2. In various embodiments, the diameter of the hole 840 is in the range of about 0.01 inches to about 0.25 inches, or in the range of about 0.02 inches to about 0.2 inches, or in the range of about 0.03 inches to about 0.15 inches or in the range of about 0.04 inches to about 0.1 inches. In some embodiments, the hold 840 has a diameter less than about 0.1 inches, or less than about 0.08 inches, or less than about 0.06 inches, or less than about 0.04 inches, or less than about 0.02 inches, or less than about 0.01 inch.

As the delivery channel spirals from the outer peripheral edge of the gas distribution plate to the central region, or vice versa, a seeming plurality of adjacent channels are observable in cross-section, even though it may be a single channel. FIG. 5 shows this seeming plurality of channels. The channels, or separation between loops of the spiral, are separated by a distance. In some embodiments, the distance between the channels, or the loops of the single channel, measured from centers, are in the range of about 0.375 inches to about 0.475 inches, or in the range of about 0.40 inches to about 0.45 inches, or in the range of about 0.41 inches to about 0.43 inches. In one or more embodiments, the average distance between centers of the adjacent channels is about 0.42 inches.

The length of the gas channel shown in FIGS. 4 to 7 can vary depending on a number of factors, including, but not limited to, the diameter of the channel and the distance between the adjacent channels. In various embodiments, the delivery channel has a length in the range of about 140 inches to about 340 inches, or in the range of about 180 inches to about 300 inches, or in the range of about 200 inches to about 280 inches, or in the range of about 220 inches to about 260 inches. In one or more embodiments, the delivery channel has a length of about 240 inches.

The number of apertures are also dependent on a number of factors, including but not limited to, the length of the delivery channel and the spacing of the apertures. In some embodiments having a single spiral channel, there are in the range of about 300 and 900 apertures, or in the range of about 400 to about 800 apertures, or in the range of about 500 to about 700 apertures. In various embodiments, there are greater than about 300, 400, 500, 600, 700 or 800 apertures along the length of the channel. In one or more embodiments, there are about 600 apertures along the length of the delivery channel.

In an embodiment, as shown in FIG. 4, the gas delivery plate 403 comprises a single delivery channel 402 in a back side of the gas delivery plate 403. The delivery channel 402 has an inlet end 404 located in an outer peripheral region 420 of the gas distribution plate 403. The delivery channel 402 and follows an inward spiral path from the inlet end 404 to an outlet end 406 located in a central region 422 of the gas distribution plate 403. The delivery channel 402 has an overall length, defined as the distance between the inlet end 404 and the outlet end 406 of about 240 inches. A plurality of apertures 408 are spaced along the overall length of the delivery channel 402. Along the overall length of the delivery channel 403 there are in the range of about 500 apertures and about 700 apertures. The delivery channel 403 has an average diameter of about 0.375 inches and adjacent portions of the spiral channel are spaced about 0.42 inches on center.

Some embodiments of the invention include more than one delivery channel 402. These multiple channels can be intertwined or separate depending on the needs of the processing system. Some channels can be recessed into a gas distribution plate as shown in FIG. 4, or can be individual tubes as shown in FIG. 1. In some embodiments, there are a combination of individual tubes and recessed channels. An exemplary embodiment of the sort is shown in FIG. 3, where the gas distribution plate may have at least one recessed delivery channel therein and an additional delivery channel is positioned between the gas distribution plate and the substrate surface.

Another embodiment of the invention is shown in FIGS. 9 through 14. A gas distribution apparatus 900 comprises two delivery channels 902a, 902b recessed in the back side 901 of a gas distribution plate 903. It will be understood that the delivery channels do not need to be recessed into the back of a gas distribution plate, but can be individual tubes, as shown in FIGS. 1 and 15. The first delivery channel 902a has a first inlet end 904a and a first outlet end 906a and a plurality of first apertures 908a spaced along the length of the first delivery channel 902a. The second delivery channel 902b has a second inlet end 904b, a second outlet end 906b and a plurality of second apertures 908b spaced along the length of the second delivery channel 902b.

A first inlet 910a is connected to the first inlet end 904a of the first delivery channel 902a. The first inlet 910a is adapted to be connected to a gas source. A first outlet 912a is connected to the first outlet end 906a of the first delivery channel 902a. The first outlet 912a is adapted to be connected to a vacuum source. A second inlet 910b is connected to the second inlet end 904b of the second delivery channel 902b. The second inlet 910b is adapted to be connected to a gas source. A second outlet 912b is connected to the second outlet end 906b of the second delivery channel 902b. The second outlet 912a is adapted to be connected to a vacuum source.

In the embodiment shown in FIGS. 9 to 14, each of the delivery channels 902a, 902b form a spiral shape. One or more embodiments, as that shown in the Figures, have the two delivery channels 902a, 902b intertwined along the length of the spiral shape. It will be understood by those skilled in the art that the two delivery channels 902a, 902b can have shapes other than spiral and do not need to intertwine. In certain embodiments, the plurality of first apertures 908a and second apertures 908b extend through the gas distribution plate 903 to the front side 905 of the gas distribution plate 903.

In some embodiments, each of the delivery channels 902a, 902b form a spiral shape with one of the inlet end 904a, 904b and outlet end 906a, 906b positioned in an outer peripheral region 920 of the gas distribution plate 903 and the other of the inlet end 904a, 904b and outlet end 906a, 906b positioned in a central region 922 of the gas distribution plate 903. In one or more embodiments, the inlet ends 904a, 904b of both channels 902a, 902b is positioned in the outer peripheral region 920 and the inlet ends 904a, 904b of both channels 902a, 902b are positioned in the central region 922 of the gas distribution plate 903. In certain embodiments, the inlet ends 904a, 904b of both channels 902a, 902b is positioned in the central region 922 and the inlet ends 904a, 904b of both channels 902a, 902b are positioned in the outer peripheral region 920 of the gas distribution plate 903. In one or more embodiments, one or the inlet ends 904a, 904b is positioned in the outer peripheral region 920 and the other inlet end 904b, 904a is positioned at the central region 922, with the outlet ends 906a, 906b at the other end of each individual delivery channel 902a, 902b.

FIG. 11 shows a back cover 907 for the gas distribution plate 903 shown in FIG. 9. There are four holes (not numbered) located in the back cover 907 which align approximately with the inlet ends 904a, 904b and outlet ends 906a, 906b of the delivery channels 902a, 902b. The holes can be used to provide an access point for connected in the inlet 910a, 910b and outlet 912a, 912b to the channels 902a, 902b. In some embodiments, there inlet 910a, 910b and outlet 912a, 912b are integrally formed with the back cover 907. Additionally, as seen in FIGS. 12 and 13, there can be one or more inlet valves 914a, 914b and outlet valves 916a, 916b

FIGS. 12 and 13 show perspective views of a gas distribution apparatus 900 in accordance with various embodiments of the invention. The inlets 910a, 910b are shown connected to the back cover 907 with a flange 924a, 924b. The connection and gas-tight sealing of the flange 924a, 924b can be accomplished by any suitable mechanism and techniques as known to those skilled in the art. The outlets 912a, 912b can also be connected to the back cover 907 with a flange or with a block connection 925. The block 925 can be integrally formed with the back cover 907 or can be a separate piece. The block 925 may provide additional support and space for the outlet valves 916a, 916b, allowing the connecting tubes to protrude from the back cover 907 at an angle. Although the inlets 910a, 910b and inlet valves 914a, 914b are shown on the outside peripheral region 920 of the gas distribution plate 903 and the outlets 912a, 912b and outlet valves 916a, 916b are shown at the central region 922 of the gas distribution plate 903, it will be understood that these components can be reversed or intermixed and that the drawings are merely illustrative of one embodiment.

As the delivery channels spiral from the outer peripheral edge of the gas distribution plate to the central region, or vice versa, a seeming plurality of adjacent channels are observable in cross-section. With the spirals intertwined, the gas in every adjacent channel is from the other inlet 910a, 910b. The channels are separated by a distance from the adjacent channels. In some embodiments, the distance between the channels, measured from the center of the channel, are in the range of about 0.375 inches to about 0.475 inches, or in the range of about 0.40 inches to about 0.45 inches, or in the range of about 0.41 inches to about 0.43 inches. In one or more embodiments, the average distance between centers of the adjacent channels is about 0.42 inches.

The length of the gas channel shown in FIGS. 9-14 can vary depending on a number of factors, including, but not limited to, the diameter of the channel and the distance between the adjacent channels. In various embodiments, each of the delivery channels has a length in the range of about 70 inches to about 170 inches, or in the range of about 90 inches to about 150 inches, or in the range of about 100 inches to about 140 inches, or in the range of about 110 inches to about 130 inches. In one or more embodiments, the delivery channel has a length of about 120 inches.

The number of apertures are also dependent on a number of factors, including but not limited to, the length of the delivery channel and the spacing of the apertures. In some embodiments having a single spiral channel, there are in the range of about 150 and 450 apertures, or in the range of about 200 to about 400 apertures, or in the range of about 250 to about 350 apertures. In various embodiments, there are greater than about 150, 200, 250, 300, 350 or 400 apertures along the length of the channel. In one or more embodiments, there are about 300 apertures along the length of each of the delivery channels.

The apparatus shown in FIGS. 4 through 14 can be used for plasma processing. For example, the delivery channel, gas distribution apparatus or showerhead can be polarized relative to another portion of the processing chamber to ignite a plasma within the chamber. The delivery channel, gas distribution apparatus or showerhead can be polarized relative to a portion of the chamber, or a portion of the chamber can be biased relative to the delivery channel, gas distribution apparatus or showerhead. For example, the delivery channel, gas distribution apparatus or showerhead can be polarized relative to the pedestal, or the pedestal can be polarized relative to the delivery channel, gas distribution apparatus or showerhead. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.

In some embodiments of the apparatus exemplified by FIGS. 4 through 14, there is an insulating material (not shown) positioned between the back cover and the main body portion of the gas distribution apparatus (i.e., the portion including the gas delivery channel). This insulating material provides electrical isolation between the back cover and the main body portion of the gas distribution apparatus so that the back cover can be polarized relative to the main body portion. Doing so may allow for the ignition of a plasma within the gas distribution apparatus, or within the delivery channels. The plasma can then be flowed through the plurality of apertures into the processing region of the processing chamber, the processing region being the region between the gas distribution apparatus and the pedestal. This configuration may be referred to as a remote plasma because the plasma is formed (e.g., ignited) outside of the processing region.

FIGS. 15, 16A and 16B show another exemplary embodiment of a gas distribution apparatus 1500. The gas distribution apparatuses shown are particularly useful for spatially separated atomic layer deposition processes in which different portions of the substrate are simultaneously exposed to different deposition gases and the substrate 1544 is moved relative to the gas distribution apparatus so that all parts of the substrate are exposed sequentially to each of the deposition gases. In these embodiments, the gas distribution apparatus 1500 comprises a plurality of delivery channels 1502, each delivery channel 1502 extending substantially straight and substantially parallel to adjacent delivery channels. Each of the delivery channels 1502 has an inlet end 1504 and an outlet end 1506 with a plurality of spaced apertures 1508 there between.

The gas distribution apparatus shown in FIGS. 15, 16A and 16B have a plurality of elongate delivery channels 1502 and a plurality of elongate vacuum channels 1550. Each of the delivery channels 1502 and vacuum channels 1550 are connected to a output channel 1552 at the front face of the gas distribution apparatus. Each of the delivery channels 1502 is adapted to flow one or more of a reactive gas and a purge gas. Each delivery channel 1502 is connected to an output channel 1552 by a plurality of spaced apertures 1508. Each of the vacuum channels 1550 is connected to an inlet channel 1554 by a plurality of spaced vacuum apertures 1558. The plurality of apertures 1508 of each delivery channel 1502 are separated from the plurality of apertures 1508 of each adjacent delivery channel 1502 by at least one plurality of vacuum apertures 1558 from a vacuum channel 1550.

In the embodiment shown in FIG. 16A, each of the central vacuum channels 1550 (not the end vacuum channels) are connected to two inlet channels 1554 by vacuum apertures 1508. The end vacuum channels 1550 are only connected to a single inlet channel 1554. It should be understood that this is merely exemplary and should not be taken as limiting the scope of the invention. Each inlet channel 1554 can have a dedicated vacuum channel 1550, or a single vacuum channel 1550 can be connected to more than two inlet channels 1554 through a plurality of vacuum apertures 1508.

While each of the delivery channels appear the same, there can be a different gas flowing through each. For example, purge channels (denoted P) may have a purge gas flowing there through, each of the first reactive gas channels (denoted A) may have a first reactive gas flowing there through and each of the second reactive gas channels (denoted B) may have a second reactive gas flowing there through. The vacuum channels (denoted V) are connected to a vacuum source. With reference to FIG. 16A, a substrate 1544 (or more specifically, a fixed point on a substrate) moving from left to right would encounter in order a vacuum gas channel, a purge gas channel, a vacuum gas channel, a first reactive gas channel, a vacuum gas channel, a purge gas channel, a vacuum gas channel, a second reactive gas channel, a vacuum gas channel, etc., depending on the size of the gas distribution plate.

The use of the delivery channels with inlet and outlet ends allows for the rapid exchange of gas within the delivery channel. For example, after the substrate (or fixed point on the substrate) is exposed to the second reactive gas channel (denoted B), the outlet end of the delivery channel can be opened, allowing the gas within the channel to be removed, and a different reactive gas (e.g., gas C) can then be flowed into the delivery channel. Thus, when the substrate passes back under that gas channel the substrate will be exposed to gas C instead of gas B. While this example has been made with respect to a second reactive gas, it will be understood by those skilled in the art that an of the gas delivery channels (first reactive gas, second reactive gas or purge gas) can be purged and replaced with a different gas.

The delivery channel of FIGS. 15, 16A and 16B can be used for plasma processing as well. The gas distribution apparatus 1500 can be biased relative to another portion of the chamber. For example, the gas distribution apparatus 1500 can be polarized relative to the pedestal, or the pedestal can be polarized relative to the gas distribution apparatus. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.

FIG. 16B shows an embodiment of a single delivery channel 1502 and a single vacuum channel 1550. Each of the delivery channel 1502 and vacuum channel 1550 have two sets of apertures extending therefrom. In the case of the vacuum channel 1550, one set of apertures 1558a connect to a first inlet channel 1554a and the other set of apertures 1558b connects to a second inlet channel 1554b. The delivery channel 1502, on the other hand, has two sets of apertures 1508 extending to a single output channel 1552.

In one or more embodiments, the gas distribution apparatus includes more than one outlet connected to a vacuum source. FIG. 17 shows a spiral shaped gas distribution apparatus 1700 which is similar to the apparatus 100 shown in FIG. 1. The apparatus includes a delivery channel 1702 with an inlet end 1704 and an outlet end 1706. An inlet 1710 is connected to and in communication with the inlet end 1704 of the delivery channel 1702. An outlet 1712 is connected to and in communication with the outlet end 1706 of the delivery channel 1702. The inlet 1710 is connectable to a gas source and may include an inlet valve 1714 that can control the flow of gas into (or out of) the delivery channel 1702 or completely cut off the flow of gas. The outlet 1712 is connectable to a vacuum source (not shown) and may include an outlet valve 1716 that can control the flow of gas out of (or into) the delivery channel 1702 or completely cut off the vacuum source from the delivery channel 1702. An intermediate outlet 1742 which is connectable to the vacuum source (not shown) is position along the length of the delivery channel 1702. The intermediate outlet 1742 shown is connected to the delivery channel 1702 at about the middle of the length of the channel 1702 and coupled to the delivery channel 1702 through an intermediate outlet 1740. The intermediate outlet 1742 may include an intermediate outlet valve 1744 that can control the flow of gas out of (or into) the delivery channel 1702 or completely cut off the vacuum source from the delivery channel 1702. The inlet valve 1714 of the inlet 1710, the outlet valve 1716 of the outlet 1712 and the intermediate outlet valve 1744 of the intermediate outlet 1740 are connected to a controller 1750. The controller is capable of independently opening or closing any or all of the valves to adjust the pressure of gases flowing through the delivery channel 1702 or purge the delivery channel 1702 of an existing gas. For example, Table 2 shows a processing sequence that may be used with the embodiment shown in FIG. 17. It will be understood by those skilled in the art that this is merely an example and should not be taken as limiting the scope of the invention.

TABLE 2
Intermediate
Step Gas Source Outlet valve Outlet valve
1a Precursor A Closed Partially Open
1b Precursor A Closed Closed
2a Purge Open Closed
2b Purge Open Open
2c Purge Open Closed
3a Precursor B Partially Open Closed
3b Precursor B Closed Closed

The valves shown in Table 2 are open, closed or partially open at any point during the processing. In Step 3a, after purging the delivery channel of Precursor A, the intermediate outlet valve is partially open to accelerate the flow of Precursor B through the delivery channel and then closed in Step 3b. This is merely one possible sequence that can be used and should not be taken as limiting the scope of the invention.

The embodiment shown in FIG. 17 effectively includes two outlets, one at the end of the delivery channel and one in the middle. Those skilled in the art will understand that there can be any number of outlets spaced along the length of the delivery channel and at any position along the length of the channel. For example, the intermediate outlet 1740 could be positioned at ⅓ of the length of the channel. Additionally, there can be any number of outlets. For example, the delivery channel may have four outlets, one at the end and one positioned at each of ¼, ½ and ¾ of the length of the delivery channel. In another example, the delivery channel includes four outlets, one at the end and one position at each of ¼, ¾ and 9/10 of the length of the delivery channel. In some embodiments, the delivery channel includes 2, 3, 4, 5, 6, 7, 8, 9, 10 or 11 total outlets (including an outlet at the outlet end of the channel).

FIG. 18 shows another embodiment of the invention in which the gas distribution apparatus 1800 includes a multipath delivery channel 1802. Here, the apparatus 1800 includes a delivery channel 1802 with an inlet end 1804 and an outlet end 1806. An inlet 1810 is connected to and in communication with the inlet end 1804 of the delivery channel 1802. An outlet 1812 is connected to and in communication with the outlet end 1806 of the delivery channel 1802. The inlet 1810 is connectable to a gas source (not shown) and may include an inlet valve 1814 that can control the flow of gas into (or out of) the delivery channel 1802 or completely cut off the flow of gas. The outlet 1812 is connectable to a vacuum source (not shown) and may include an outlet valve 1816 that can control the flow of gas out of (or into) the delivery channel 1802 or completely cut off the vacuum source from the delivery channel 1802. The delivery channel 1802 splits near the inlet end 1804 into three separate channels 1802a, 180b, 1802c and merges back into a single channel near the outlet end 1806. A plurality of apertures 1808 are spaced along the length of each of the channels so that a single gas flowing into the inlet 1810 can be directed along multiple paths and connected to a single outlet 1812. The apertures 1808 can be evenly spaced or unevenly spaced along the length of the channel 1802.

The embodiment shown splits the delivery channel into three separate channels along the length of the channel. However, it will be understood by those skilled in the art that this is merely exemplary and that the delivery channel can be split into any number of channels. In some embodiments, the delivery channel splits into 2, 3, 4, 5, 6, 7, 8, 9 or 10 separate delivery channels. Additionally, the delivery channel can split multiple time along the length of the channel. For example, the channel can split into two, merge into one and then split into 3 along the length of the channel.

The flow of gas through the multi-channel gas distribution apparatus shown in FIG. 18 may not be uniform among the three channels. The uniformity of gas flow between the channels can be affected by a number of factors including, but not limited to, gas pressure, vacuum pressure, temperature, flow rate and from static pressure drops along the length. FIG. 19 shows another embodiment of a gas distribution apparatus 1900 in which the delivery channel 1902 splits into three separate channels 1902a, 1902b, 1902c each with its own outlet valve 1912a, 1912b, 1912c. The apparatus 1900 shown includes an inlet end 1904 connected through an inlet valve 1914 to an inlet 1910. The delivery channel 1902 includes a plurality of apertures 1908 spaced along the length of each of the separate channels 1902a, 1902b, 1902c. The apertures can be evenly spaced or unevenly spaced along the length of the channels. Each channel has a separate outlet 1912a, 1912b, 1912c with separate outlet valves 1916a, 1916b, 1916c. Each of the outlet valves 1916a, 1916b, 1916c is connected to a controller 1950 that can independently control each of the outlet valves 1916a, 1916b, 1916c. In this embodiments, the controller 1950 can set the outlet valves to closed, fully open, or at any point in between. For example, if the flow of gas through one of the channels is lower than the others, the controller 1950 may open the outlet valve of that channel to accelerate the flow or may open the outlet valves of the other channels to accelerate flow and cause less gas to exit the channels through the apertures to cause a more uniform flow.

Multiple separate channels can also be employed. FIG. 20 shows an embodiment of a gas distribution apparatus 2000 with five separate gas delivery channels 2002a, 2002b, 2002c, 2002d, 2002e. Each of the delivery channels 2002a, 2002b, 2002c, 2002d, 2002e includes an inlet valve 2014a, 2014b, 2014c, 2014d, 2014e and an outlet valve 2016a, 2016b, 2016c, 2016d, 2016e. Four spiral shaped delivery channels 2002a-d are shown leaving a void area 2060 at the center of the four channels. The fifth delivery channel 2002e passes between the spirals and oscillates in the void area 2060 to prevent dead space in the gas flow. The fifth delivery channel 2002e is shown with an intermediate outlet valve 2044. Each of the delivery channels can be configured to deliver the same gas, or can deliver separate gases.

In one embodiment, the five channels cover a single substrate and each channel delivers the same reactive gas. The substrate may be rotated beneath the delivery channels, or the channels may rotate or oscillate over the substrate. In another embodiment, alternative delivery channels (e.g., 2002a, 2002c) can deliver a first reactive gas and the other channels (e.g., 2002b, 2002d) can deliver a second reactive gas. The fifth channel 2002e can be configured to deliver an inert gas to form a curtain between the separate channels to separate the gases and prevent gas-phase reactions. Rotating the substrate beneath these channels would expose alternating quarters to the same gas followed by the second reactive gas to deposit a film. In this embodiment, the portion of the substrate in the void area 2060 would not have a deposited layer.

In another embodiment, each of the channels can deliver the same gas but be sized so that a single substrate would be covered by a single delivery channel allowing the processing of multiple substrates by moving the substrates from one delivery channel to the adjacent channel. Each channel can be configured to deliver the same gas or separate gases and the fifth channel can be configures to deliver an inert gas to form a curtain separating the reaction regions adjacent the delivery channels. The fifth delivery channel, and any other gas delivery channel described herein can have multiple inlets and a single outlet, or multiple outlets. For example the fifth delivery channel shown may have an inlet at either end and a single outlet in the middle to create a stronger gas curtain to separate the other delivery channels.

Again, the shape and number of outlets can vary depending on the desired use. The spiral shape shown in FIG. 20 is merely exemplary and should not be taken as limiting the scope of the invention. The shape of the gas delivery channel(s) can be modified for a number of reasons. In some embodiments, the gas delivery channel is shaped for spell words (e.g., “Applied Materials”) or form a logo. For example, FIG. 21 shows three delivery channels 2102a, 2102b, 2102c roughly forming the logo of Applied Materials, Inc. of Santa Clara, Calif. The first gas delivery channel 2102a and second gas delivery channel 2102b each have a single inlet valve 2114a, 2114b and a single outlet valve 2116a, 2116b. The third gas delivery channel 2102c has a single inlet valve 2114c and two outlet valves 2116c, 2116d. Along the length, the third gas delivery channel 2102c splits into two channels, reforms into a single channel and then splits into two channels again. In another embodiment, inlet valves and outlet valves of the third delivery channel are reversed so that there are two inlet valves and a single outlet valve.

The gas flows coming from the surface of the gas distribution apparatus seen by the substrate can be uniform or striated. For example, a substrate passing beneath the dual spiral gas distribution apparatus shown in FIG. 9 will see alternating rings of gases. In some embodiments, the plurality of delivery channels are shaped so that the hole pattern seen by a substrate is uniform across the gas distribution apparatus. FIGS. 22A and 22B show part an embodiment of a gas distribution apparatus 2203 in which the gas flows seen by a substrate would be uniform. FIG. 22A shows the back side 2201 of a gas distribution apparatus 2203 with a plurality of alternating gas channels 2202a, 2202b. The gas channels 2202a, 2202b undulate with the holes 2208a, 2208b spaced along the length of the gas channels so that hole 2208 pattern seen on the front side 2205 in FIG. 22B is uniform. Additionally, the gas flows seen by the substrate are uniform because there is a uniform distribution of holes across the gas distribution apparatus front. Looking at FIG. 22B, the top row of holes 2208 would alternate between the first gas and the second gas, with the next row having the reverse pattern. Thus, of the twelve holes 2208 shown, the first gas will flow out of six of the holes and the second gas will flow out of the other six holes.

There can be multiple inlet valves 2214a, 2214b, as shown in FIG. 22A, or can be a single valve split into multiple channels. Additionally, there can be multiple outlet valves 2216a, 2216b, as shown in FIG. 22B, or there can be a single outlet valve joining each of the channels.

The gas distribution apparatus described can be used to form one or more layers during a plasma enhanced atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to promote a species into the excited state where surface reactions become favorable and likely. Introducing the plasma into the process can be continuous or pulsed. In some embodiments, sequential pulses of precursors (or reactive gases) and plasma are used to process a layer. In some embodiments, the reagents may be ionized either locally (i.e., within the processing area) or remotely (i.e., outside the processing area). Remote ionization can occur upstream of the deposition chamber such that ions or other energetic or light emitting species are not in direct contact with the depositing film. In some PEALD processes, the plasma is generated external from the processing chamber, such as by a remote plasma generator system. The plasma may be generated via any suitable plasma generation process or technique known to those skilled in the art. For example, plasma may be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator. The frequency of the plasma may be tuned depending on the specific reactive species being used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz and 100 MHz. Although plasmas may be used during the deposition processes disclosed herein, it should be noted that plasmas may not be required.

According to one or more embodiments, the gas distribution apparatus can be used to subject a substrate to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the desired separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system”, and the like.

Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present invention are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif. The details of one such staged-vacuum substrate processing apparatus is disclosed in U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing Apparatus and Method,” Tepman et al., issued on Feb. 16, 1993. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.

According to one or more embodiments, the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants after forming the silicon layer on the surface of the substrate. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.

A substrate can be processed in single substrate deposition chambers using, for example, the gas distribution apparatus described. In such chambers, a single substrate is loaded, processed and unloaded before another substrate is processed. A substrate can also be processed in a continuous manner, like a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.

During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.

The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discreet steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposure to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and their equivalents.

Chang, Mei, Yudovsky, Joseph, Chu, David, Kao, Chien-Teh, Ma, Paul F., Wu, Dien-Yeh, Gungor, Faruk, Lam, Hyman

Patent Priority Assignee Title
10844484, Sep 22 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
10844486, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10847366, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
10851456, Apr 21 2016 ASM IP Holding B.V. Deposition of metal borides
10858737, Jul 28 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Showerhead assembly and components thereof
10867786, Mar 30 2018 ASM IP Holding B.V. Substrate processing method
10867788, Dec 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10883175, Aug 09 2018 ASM IP HOLDING B V Vertical furnace for processing substrates and a liner for use therein
10886123, Jun 02 2017 ASM IP Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
10892156, May 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
10910262, Nov 16 2017 ASM IP HOLDING B V Method of selectively depositing a capping layer structure on a semiconductor device structure
10914004, Jun 29 2018 ASM IP Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
10923344, Oct 30 2017 ASM IP HOLDING B V Methods for forming a semiconductor structure and related semiconductor structures
10928731, Sep 21 2017 ASM IP Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
10934619, Nov 15 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Gas supply unit and substrate processing apparatus including the gas supply unit
10941490, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
10943771, Oct 26 2016 ASM IP Holding B.V. Methods for thermally calibrating reaction chambers
10950432, Apr 25 2017 ASM IP Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
10975470, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11018047, Jan 25 2018 ASM IP Holding B.V. Hybrid lift pin
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11024523, Sep 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11056567, May 11 2018 ASM IP Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11390950, Jan 10 2017 ASM IP HOLDING B V Reactor system and method to reduce residue buildup during a film deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
D913980, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
ER3967,
ER4489,
ER6015,
ER6328,
ER8750,
Patent Priority Assignee Title
4792378, Dec 15 1987 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
5451290, Aug 14 1989 Applied Materials, Inc. Gas distribution system
5500256, Aug 16 1994 Fujitsu Semiconductor Limited Dry process apparatus using plural kinds of gas
5728223, Jun 09 1995 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
5950925, Oct 11 1996 Ebara Corporation Reactant gas ejector head
6050506, Feb 13 1998 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
6059885, Dec 19 1996 GLOBALWAFERS JAPAN CO , LTD Vapor deposition apparatus and method for forming thin film
6086677, Jun 16 1998 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
6090210, Jul 24 1996 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
6148761, Jun 16 1998 Applied Materials, Inc.; Applied Materials, Inc Dual channel gas distribution plate
6167834, Jun 13 1990 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
6245192, Jun 30 1999 Lam Research Corporation Gas distribution apparatus for semiconductor processing
6289842, Jun 02 1998 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
6302964, Jun 16 1998 Applied Materials, Inc One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
6432831, Jun 30 1999 Lam Research Corporation Gas distribution apparatus for semiconductor processing
6620289, Apr 27 1999 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
6647993, Jul 13 1998 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
6793733, Jan 25 2002 Applied Materials Inc. Gas distribution showerhead
6821347, Jul 08 2002 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
6827815, Jan 15 2002 Applied Materials, Inc Showerhead assembly for a processing chamber
6983892, Feb 05 2004 Applied Materials, Inc Gas distribution showerhead for semiconductor processing
7018940, Dec 30 2002 EUGENUS, INC Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
7104476, Nov 23 2001 Jusung Engineering Co., Ltd. Multi-sectored flat board type showerhead used in CVD apparatus
7976631, Oct 16 2007 Applied Materials, Inc Multi-gas straight channel showerhead
8100082, May 18 2007 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
8328939, May 12 2004 Applied Materials, Inc. Diffuser plate with slit valve compensation
8795793, Apr 12 2004 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
8955547, Oct 19 2011 Applied Materials, Inc Apparatus and method for providing uniform flow of gas
20010027026,
20030124842,
20030172872,
20030209323,
20040003777,
20040050326,
20040082251,
20040127067,
20040182417,
20040216665,
20050092247,
20050092248,
20050133161,
20050173569,
20050223986,
20050255257,
20060021574,
20060021703,
20060057824,
20060078483,
20070022954,
20070119370,
20070240631,
20090056626,
20090095222,
20100075066,
20110011338,
CN101423936,
CN101423937,
JP2007005491,
JP2010135569,
JP2011086776,
KR100920324,
KR100931331,
KR100936694,
KR100936695,
KR100946159,
KR100949913,
KR100949914,
KR100960958,
KR101028408,
KR101072670,
KR101081694,
KR101095687,
KR101134277,
KR101136302,
KR1020020028921,
KR1020080027459,
KR1020080101794,
KR1020090055443,
KR1020090069075,
KR1020100002886,
KR1020100003536,
KR1020100064341,
WO2011009002,
WO2011009002,
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 16 2017Applied Materials, Inc.(assignment on the face of the patent)
Date Maintenance Fee Events
Jan 20 2023M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
Jun 18 20224 years fee payment window open
Dec 18 20226 months grace period start (w surcharge)
Jun 18 2023patent expiry (for year 4)
Jun 18 20252 years to revive unintentionally abandoned end. (for year 4)
Jun 18 20268 years fee payment window open
Dec 18 20266 months grace period start (w surcharge)
Jun 18 2027patent expiry (for year 8)
Jun 18 20292 years to revive unintentionally abandoned end. (for year 8)
Jun 18 203012 years fee payment window open
Dec 18 20306 months grace period start (w surcharge)
Jun 18 2031patent expiry (for year 12)
Jun 18 20332 years to revive unintentionally abandoned end. (for year 12)