A 3d device, the device including: a first level including logic circuits; a second level including a plurality of memory circuits, where the first level is bonded to the second level, where the bonded includes oxide to oxide bonds, and where the device includes first redundancy circuits to replace a faulty logic circuit and a second redundancy circuit to replace a faulty memory circuit.

Patent
   11296115
Priority
Oct 24 2015
Filed
Nov 11 2021
Issued
Apr 05 2022
Expiry
Oct 24 2036
Assg.orig
Entity
Small
1
958
currently ok
8. A 3d device, the device comprising:
a first level comprising logic circuits; and
a second level comprising a plurality of memory cells,
wherein said first level is bonded to said second level,
wherein said bonded comprises oxide to oxide bonds, and
wherein said logic circuits comprise at least one controller and a plurality of decoder circuits.
15. A 3d device, the device comprising:
a first level comprising logic circuits; and
a second level comprising a plurality of memory circuits,
wherein said first level is bonded to said second level,
wherein said bonded comprises oxide to oxide bonds, and
wherein said plurality of memory circuits comprise at least four independently controlled memory arrays.
1. A 3d device, the device comprising:
a first level comprising logic circuits;
a second level comprising a plurality of memory circuits,
wherein said first level is bonded to said second level,
wherein said bonded comprises oxide to oxide bonds, and
wherein said device comprises first redundancy circuits to replace a faulty logic circuit and a second redundancy circuit to replace a faulty memory circuit.
2. The 3d device of claim 1,
wherein said second level comprises gate all around memory cells.
3. The 3d device of claim 1,
wherein said second redundancy circuit comprises single transistor memory cells.
4. The 3d device of claim 1,
wherein said first redundancy circuits comprise decoder circuits.
5. The 3d device of claim 1, further comprising:
a first memory cell; and
a second memory cell,
wherein said second memory cell is overlaying said first memory cell, and
wherein said first memory cell is self-aligned to said second memory cell, being processed following a same lithography step.
6. The 3d device of claim 1,
wherein said plurality of memory circuits comprise a multi-bit memory cell.
7. The 3d device of claim 1,
wherein said first level comprises a plurality of decoder circuits.
9. The 3d device of claim 8,
wherein said second level comprises gate all around memory cells.
10. The 3d device of claim 8, further comprising:
a third level comprising a plurality of non-volatile memory cells,
wherein said third level overlays said second level, and
wherein said second level comprises a plurality of volatile memory cells.
11. The 3d device of claim 8, further comprising:
a first memory cell; and
a second memory cell,
wherein said second memory cell is overlaying said first memory cell, and
wherein said first memory cell is self-aligned to said second memory cell, being processed following a same lithography step.
12. The 3d device of claim 8, further comprising:
a third level comprising a plurality of memory cells, said third level overlaying said second level;
a fourth level overlaying said third level or underlying said first level,
wherein said fourth level comprises memory control circuits.
13. The 3d device of claim 8,
wherein said first level comprises differential signaling circuits.
14. The 3d device of claim 8,
wherein said second level comprises a thinned substrate, and
wherein said thinned substrate has a thickness less than 20 microns.
16. The 3d device of claim 15,
wherein said second level comprises gate all around memory cells.
17. The 3d device of claim 15,
wherein said second level comprises at least one memory cell comprising a vertical oriented channel.
18. The 3d device of claim 15, further comprising:
a first memory cell; and
a second memory cell,
wherein said second memory cell is overlaying said first memory cell, and
wherein said first memory cell is self-aligned to said second memory cell, being processed following a same lithography step.
19. The 3d device of claim 15,
wherein said second level comprises at least one memory cell comprising multi-bit storage.
20. The 3d device of claim 15,
wherein said device comprises redundancy circuits to replace a faulty circuit.

This application relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Memory Circuit (3D-Memory) devices and fabrication methods.

Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes such as lateral and vertical dimensions within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate the performance, functionality and power consumption of ICs.

3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.

There am many techniques to construct 3D stacked integrated circuits or chips including:

In a land mark papers at VLSI 2007 and IEDM 2007, Toshiba presented techniques to construct 3D memories which they called—BiCS. Many of the memory vendors followed that work by variation and alternatives mostly for non-volatile memory applications, such as now being referred to as 3D-NAND. They provide an important manufacturing advantage of being able to utilize one, usually ‘critical’, lithography step for the patterning of multiple layers. The vast majority of these 3D Memory schemes use polysilicon for the active memory cell channel which suffers from higher cell to cell performance variations and lower drive than a cell with a monocrystalline channel. In at least our U.S. Pat. Nos. 8,026,521, 8,114,757, 8,687,399, 8,379,458, and 8,902,663, these are incorporated herein by reference; we presented multiple 3D memory structures generally constructed by successive layer transfers using ion cut techniques. In this work we are presenting multiple methods and structures to construct 3D memory with monocrystalline channels constructed by alternative methods to ion cut and successive layer transfers. This structure provides the benefit of multiple layers being processed by one lithography step with many of the benefits of a monocrystalline channel, and provides overall lower construction costs.

In addition, the entire contents of U.S. Pat. Nos. 11,114,464, 10,847,540, 10,418,369, 10,014,318, U.S. patent application publication 2020013800 and U.S. patent applications Ser. Nos. 17/396,711, 62/307,568, 62/286,362, 62/276,953, 62/271,251, 62/266,610, and 62/246,054 are incorporated herein by reference.

The invention may be directed to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.

In one aspect, a 3D device, the device comprising: a first level comprising logic circuits; a second level comprising a plurality of memory circuits, wherein said first level is bonded to said second level, wherein said bonded comprises oxide to oxide bonds, and wherein said device comprises first redundancy circuits to replace a faulty logic circuit and a second redundancy circuit to replace a faulty memory circuit.

In another aspect, a 3D device, the device comprising: a first level comprising logic circuits; and a second level comprising a plurality of memory cells, wherein said first level is bonded to said second level, wherein said bonded comprises oxide to oxide bonds, and wherein said logic circuits comprise at least one controller and a plurality of decoder circuits.

In another aspect, a 3D device, the device comprising: a first level comprising logic circuits; and a second level comprising a plurality of memory circuits, wherein said first level is bonded to said second level, wherein said bonded comprises oxide to oxide bonds, and wherein said plurality of memory circuits comprise at least four independently controlled memory arrays.

Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:

FIGS. 1A and 1B are example illustrations of forming multilayer porous structures;

FIG. 2 is an example illustration of multilayer porous structures;

FIGS. 3A-3P are example illustrations of the formation and structure of a floating body 3D DRAM memory;

FIG. 4A is an example illustration of a non-volatile floating body cell;

FIG. 4B is an example illustration of a stable two states one transistor memory structure 3D DRAM memory cell;

FIG. 4C is an example illustration of a non-volatile 3D TRAM memory;

FIGS. 5A-5D are example illustrations of 3D memory arrangements;

FIGS. 6A-6B are example illustrations of the formation and structure of 3D Memory with dual functionality;

FIGS. 7A-7H are example illustrations of the formation and structure of vertically oriented 3D memories;

FIGS. 8A-8F are example illustrations of the formation and structure of a NOR type 3D memory;

FIGS. 9A-9D are example illustrations of the formation and structure of a NOR type 3D universal memory;

FIG. 10 is an example illustration of a portion of the formation and structure of a multi sided ONO faceted NOR type 3D memory;

FIG. 11 is an example illustration of an additional portion of the formation and structure of a multi sided ONO faceted NOR type 3D memory;

FIGS. 12A-12C are example illustrations of additional portions of the formation and structure of a multi sided ONO faceted NOR type 3D memory;

FIGS. 13A and 13B are example illustrations of an additional portion of the formation and structure of a multi sided ONO faceted NOR type 3D memory;

FIGS. 14A-14C are example illustrations of a NOR cell structure;

FIGS. 15A-15D are example illustrations of operation modes of a 4-Gate 3D NOR cell;

FIG. 16 is an example illustration of a channel with a facet holding bit1 and bit 2;

FIG. 17 is an example illustration of operating conditions for the cell of FIG. 16;

FIG. 18 is an example illustration of a channel with a facet holding 4 bits;

FIG. 19 is an example illustration of operating conditions for the cell of FIG. 18;

FIG. 20 is an example illustration of a channel with a facet holding 6 bits;

FIG. 21 is an example illustration of operating conditions for the cell of FIG. 20;

FIG. 22 is an example illustration of a channel with a facet holding 8 bits;

FIG. 23 is an example illustration of operating conditions for the cell of FIG. 22;

FIG. 24 is an example illustration of a memory addressing format;

FIGS. 25A-25B are example illustrations of block diagrams of circuit control circuits;

FIG. 26 is an example illustration of a architecture of a 3D-NOR array;

FIG. 27 is an example illustration of distributed bits allocation for a 3D NOR cell;

FIG. 28 is an example illustration of focusing the trapping region to a zone of charge trapping region;

FIG. 29 is an additional example illustration of focusing the trapping region to a zone of charge trapping region;

FIG. 30 is an example illustration of reading a zone of charge trapping region;

FIG. 31 is an example illustration of a block erase operation on a zone of charge trapping region;

FIG. 32A-32B are example illustrations of block diagrams of optional circuits to generate signals;

FIG. 33 is an additional example illustration of a memory addressing format;

FIGS. 34A-34C are additional example illustrations of block diagrams of circuit control circuits;

FIGS. 35A-35B are additional example illustrations of block diagrams of circuit control circuits;

FIGS. 36A-36L are example illustrations of an additional portion of the formation and structure of a multi sided ONO faceted NOR type 3D memory PHTs;

FIGS. 37A-37C example illustrations of an additional portion of the formation and structure of a multi sided ONO faceted NOR type 3D memory PHTs;

FIG. 38A is an example illustration of three operating states for which the PHT could be programmed;

FIGS. 38B-38D are example illustrations of an exemplary single cell, 2×2 and 5×16 arrays of a memory;

FIGS. 39A-39F are illustrations of the symbols defined for logic cell formation of the memory fabric;

FIGS. 40A-40B are example illustrations of LUT circuits;

FIG. 41 is an example illustration of a LUT4 of FIG. 40A implemented in the NOR fabric;

FIG. 42 is an example illustration of an 8-input HE-Domino OR gate;

FIG. 43A is an example illustration of a Programmable logic array PLA structure;

FIGS. 43B-43E are example illustrations of utilizing a NOR substrate to implement logic structures and circuits;

FIGS. 44A-44B are example illustrations of forming logic structures and circuits utilizing LUTs;

FIG. 45 is an example illustration of two programmable NANDs;

FIG. 46 is an example illustration table of programming of the two NAND rows of FIG. 45 to support LUT-2 functionality;

FIGS. 47A-47B are example illustrations of 8 rows of programmable NANDs;

FIG. 48A is an example illustration of use of a ridge to construct a programmable function complementing the ‘AND of NANDs’ of FIG. 45;

FIG. 48B is an example illustration table of programming of the ‘AND of NANDs’ of FIG. 48A;

FIGS. 49A-49B are example illustrations of the use of a ridge to construct a programmable function LUT-4 using 8 rows of ‘OR of ANDs’;

FIG. 49C is an example illustration table of programming of the programmable function LUT-4 using 8 rows of ‘OR of Ands’ of FIGS. 49a and 49B;

FIG. 49D is an example illustration of an alternative for the LUT-4 of FIG. 49B;

FIG. 49E is an example illustration an alternative for the LUT-4 of FIG. 49D;

FIGS. 50A-50B are example illustrations of two LUT-4 placed back to back on the same ridge and two LUT-4s as one PLA with AND of 8 NANDs;

FIGS. 51A-51B are example illustrations of two LUT-4s of OR_AND type place back to back on the same ridge and two LUT-4s as one PLA with OR of 8 ANDs;

FIG. 52 is an example illustration of another use of the 3D-NOR fabric wherein a signal could be routed through;

FIGS. 53A-53B are example illustrations of a re-buffered cell and alternative re-buffering with full inverters;

FIG. 54 is an example illustration of an alternative circuit for the complementing signal reconstruction utilizing a differential amplifier circuit;

FIG. 55A is an example illustration of an alternative structure of the 3D NOR fabric which could leave some bridges between the ridges to support full three dimensional routing within the 3D NOR fabric;

FIG. 55B is an example illustration of an exemplary structure in 3D perspective showing JLT bridges of FIG. 55A;

FIGS. 56A-56G are example illustrations of a review the system process flow;

FIGS. 57A-57D are example illustrations of a stack structure variations;

FIGS. 58A-58I are example illustrations of a process flow for forming a 3D-NOR design which supports junctionless transistors on the S/D lines;

FIGS. 59A-59D are example illustrations of cut views of the structures of FIG. 58I;

FIGS. 60A-60B are example illustrations of an embedded lateral junctionless transistor and operation modes;

FIGS. 61A-61B are example illustrations of conditions to program a junctionless transistor;

FIGS. 62A-62B are example illustrations of a conditions to program a vertical NPN transistor;

FIGS. 63A-63G are example illustrations of a programmable stair-case per layer connection structure and programmation method;

FIGS. 64A-64K are example illustrations of formation and structure of designated JLTs;

FIGS. 65A-65E are example illustrations of alternative structures leveraging multilayer 3D stacks;

FIGS. 66A-66C are example illustrations of formation and structure of multilayer 3D stacks;

FIGS. 67A-67K are example illustrations of formation and structure of RRAM or OTP pillars;

FIGS. 68A-68H are example illustrations of programming transistors to overcome S/D lines disconnection with the fabric;

FIGS. 69A-69D are example illustrations of access devices to the RRAM/OTP pillars;

FIGS. 70A-70B are example illustrations of signal structuring;

FIG. 71 is an example illustration of signal re-buffering;

FIG. 72 is an example illustration of a clocked output reconstruction circuit;

FIGS. 73A-73B are example illustrations of a structure being programmed to act as two LUT-2s;

FIGS. 74A-74G are example illustrations of logic/programming access to the RRAM/OTP pillars;

FIGS. 75A-75B are example illustrations of RRAM/OTP pillars connecting to a connectivity structure;

FIGS. 76A-76E are example illustrations of an alternative for forming an NPN select device for the RRAM/OTP pillars;

FIGS. 77A-77D are example illustrations of forming an NPN rather than forming JLTs by ‘necking’.

FIGS. 78A-78B are example illustrations of 3D NOR fabrics;

FIG. 79 is an example illustration of the function of a 2 bit decoder with 4 outputs implemented in a 3D NOR fabric;

FIG. 80 is an example illustration of the function of a de-multiplexer with 4 outputs implemented in a 3D NOR fabric;

FIG. 81 is an example illustration of the function of a full adder implemented in a 3D NOR fabric;

FIG. 82 is an example illustration of the function of 4 signals output enable buffers implemented in a 3D NOR fabric;

FIG. 83 is an example illustration of clocked half latch reconstruction circuit interfaced to a 3D NOR fabric;

FIG. 84 is an example illustration of modified ‘domino logic’ reconstruction circuit interfaced to a 3D NOR fabric;

FIG. 85 is an example illustration of a 3D NOR fabric implementing a unit of 32 bits of NV memory;

FIGS. 86A-86B are example illustrations of a signal chart and table for the operation of a floating body memory in a 3DNOR fabric respectively;

FIG. 87 is an example illustration of a floating body memory implemented in a 3DNOR fabric with dual access to each channel;

FIGS. 88A-88B are example illustrations of another operation mode for a floating body memory in a 3DNOR fabric;

FIGS. 89A-89B are example illustrations of two complementing logic units implemented in a 3DNOR fabric;

FIG. 90 is an example illustration of a modification to the process/structure to enable logic density doubling;

FIGS. 91A-91B are example illustrations of stack structure variations for a 3D programmable system; and

FIGS. 92A-92B are example illustrations of Lateral RRAM for Y direction connectivity in a 3DNOR fabric.

An embodiment or embodiments of the invention are now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the appended claims.

Some drawing figures may describe process flows for building devices. The process flows, which may be a sequence of steps for building a device, may have many structures, numerals and labels that may be common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in the previous steps' figures.

Memory architectures include at least two important types—NAND and NOR. The NAND architecture provides higher densities as the transistors forming the memory cells are serially connected with only an external connection at the beginning and end of the cell string as is illustrated in at least U.S. Pat. No. 8,114,757, FIGS. 37A-37G. NOR architectures are less dense but provide faster access and could work sometimes when the NAND architecture cannot as individual NOR memory cells are directly accessible and in many cases both its source and drain are accessible, such as being illustrated in at least U.S. Pat. No. 8,114,757, FIGS. 30A-30M.

The memory cell could be constructed with conventional N type or P type transistors where the channel doping may be of opposite type with respect to the source drain doping or the memory cell could utilize a junction-less transistor construction where the gate could fully deplete the channel when in the off-state. For some architectures, the junction-less transistor is attractive as it may take less processing steps (or provide other device advantages such as low leakage off-state) to form the memory array without the need to form a change in doping along the transistor.

Some 3D Memory architectures are utilizing a horizontal memory transistor, for example, such as illustrated in at least U.S. Pat. No. 8,114,757, at least FIGS. 37A-37G and FIGS. 30A-30M. Others may use vertical memory transistors, for example, such as in the Toshiba BiCS architecture such as illustrated in at least U.S. Pat. No. 7,852,675.

Multiple methods to construct 3D memory structures using horizontal junction-less transistors for a NAND architecture, and for horizontal NAND and NOR architectures in general may be found in, for example, such as U.S. Pat. No. 8,114,757 in at least FIG. 33 and FIG. 37. The following would present multiple techniques to form a multilayer silicon over oxide start structure equivalent to, for example, such as at least FIGS. 33D and 37D (of U.S. Pat. No. 8,114,757), without the use of ion-cut layer transfer.

The starting structure could be similar to FIG. 41A of U.S. application Ser. No. 14/642,724, incorporated herein by reference, as illustrated in FIG. 1A. A base donor substrate 110 may be used to form a dual porous layer for future cut layer 113 on which an epitaxial process may be used to form relatively thick crystalline layer 120. Future cut layer 113 may include two porous layers; an upper layer of porous silicon, which may contain microscopic pores of diameter of a few nm, and below this is formed a lower layer of porous silicon for which the pore diameter may be a few (or more) times greater (similar to FIG. 23 of U.S. application Ser. No. 14/642,724), for the future ‘cut’ or cleave. The epitaxial formation of relatively thick crystalline layer 120 could include successively altering the addition of dopants to further support the following steps.

Then, by utilizing anodizing processes, thick crystalline layer 120 may be converted to a multilayer of alternating low porosity over high porosity as illustrated in FIG. 1B, which is similar to FIG. 41B of Ser. No. 14/642,724. The alternating-porosity multilayer can be converted later into alternating multilayer of monocrystalline-Si over insulating SiO2, as described below. FIG. 1B illustrates relatively thick crystalline layer 120 after going through a porous formation process which forms multilayer structure 122. Multilayer structure 122 may include layer 144, layer 142, layer 140, layer 138, layer 136, layer 134 and layer 132. Multilayer structure 122 may include base donor wafer substrate 110 with porous cut layer 113 for the planed transfer of the fabricated multilayer structure over the target wafer, which could include the memory peripherals circuits prefabricated on the target wafer. Alternatively, multilayer structure 122 may not include porous cut layer 113 when the transfer to a target wafer is not intended. Layer 144 could be the portion of layer 120 which remains after the multi-layer processing. The alternating layers could have alternating porosity levels such as layers 132, 136, 140 with porosity of less than 30% or less than 40% while layers 134, 138, 142 with porosity over 50% or over 60%, or layers 132, 136, 140 with a porosity of less than 55% while layers 134, 138, 142 with porosity over 65%, or other alternating level of porosity based on the target application, process, and engineering choices. Each layer may include a varying porosity thru its thickness, or a number of sublayers of varying porosity.

The number of alternating layers included in multilayer structure 122 could be made as high as the number of layers needed for the 3D memory (for example, greater than 20, greater than 40, greater than 60, or greater than 100) or for the transferring of a subset of multilayer structures one on top of the other to form the desired final structure. The porosity modulation could be achieved, for example, by (1) alternating the anodizing current, or (2) changing the illumination of the silicon structure while in the anodizing process, or (3) by first alternating the doping as layer 120 is being grown through epitaxial process, or (4) etching & oxidizing multilayers of SixGe1-X/Si. Layer 144 could be the portion of layer 120 which is left un-processed by the modulated-porosity process. Below are listed few embodiments of the above method of forming a c-Si/SiO2 multilayer from an alternated porosity multilayer:

For example, U.S. Pat. No. 7,772,096, incorporated herein by reference, teaches the formation of a multilayer structure according to (3) above, starting with alternate doping following these steps:

The above processing may result in first desired multilayer structure 122 or second desired multilayer structure 124 for the formation of 3D memories.

In yet another embodiment of method (3), U.S. patent application Ser. No. 12/436,249, incorporated herein by reference, teaches an alternative method for the formation of the multilayer structure 122 with alternating doping. In brief, the method starts by multiple depositions of amorphous silicon with alternating doping, then performing a solid phase recrystallization to convert the stack into a stack of p-type doped single crystal Si-containing layers using a high temperature recrystallization, with recrystallization temperatures from 550° C. to 700° C. After recrystallization, the single crystal Si-containing layers could be subjected to anodization and so forth as presented in ii-iv above. U.S. patent application Ser. No. 12/436,249 teaches a few alternatives for the formation of the alternating doping layer structure which could be employed herein for the 3D memory multilayer structure formation.

In an embodiment of method (2), the epitaxial layer 120 could include alternating n doped and n+ doped layers. The porous formation of the n doped layers may be assisted by light to form the holes for the anodizing process to effectively work as had been presented in S. Frohnhoff et. al., Thin Solid Films, in press (1994), U.S. patent application Ser. Nos. 10/674,648, 11/038,500, 12/436,249 and U.S. Pat. No. 7,772,096, all of these incorporated herein by reference. Following the anodizing step the structure could be oxidized and then annealed as presented in steps iii and iv above.

In an embodiment of method (1), A method to form alternating layers of coarse and fine porous layers is by alternating the anodizing current similar to the description in “Porous silicon multilayer structures: A photonic band gap analysis” by J. E. Lugo et al J. Appl. Phys. 91, 4966 (2002), U.S. Pat. No. 7,560,018, U.S. patent application Ser. No. 10/344,153, European patent EP0979994, and “Photonic band gaps analysis of Thue-Morse multilayers made of porous silicon” by L. Moretti at e1, 26 Jun. 2006/Vol. 14, No. 13 OPTICS EXPRESS, all of these incorporated herein by reference. Following the anodizing step the structure could be oxidized and then annealed as presented in steps iii and iv above.

The anodizing step could be done as a single wafer process or by using a batch mode as illustrated in U.S. Pat. No. 8,906,218, incorporated herein by reference and other similar patents assigned to a company called Solexel.

In yet another embodiment combining methods (3) and (2), the multilayer structure 122 may be formed by first forming multilayer structure of alternating n type over p type. Such a method is illustrated in U.S. Pat. No. 8,470,689 and in ““Silicon millefeuille”: From a silicon wafer to multiple thin crystalline films in a single step” by D. Hernandez et al., Applied Physics Letters 102, 172102 (2013); incorporated herein by reference. These methods leverage the fact that n type silicon would not become porous without light while p type silicon would only need current for the anodizing process to take place. For these methods the multilayer of n over p could be first etched to form the multilayer pattern such as is illustrated in FIG. 31E or FIG. 37E of U.S. Pat. No. 8,114,757 followed by an anodizing process to convert the p type silicon to porous while leaving the n type solid and un-etched. Then the step of oxidation iii. could be used to convert the porous layer to an isolation layer. The annealing step iv. could be made short or skipped as the n layers might be very lightly etched or not be etched at all.

In yet another embodiment of method (3), a multilayer structure could be achieved by successive epitaxial growths of n type silicon over p+ type silicon multiple times for which the n silicon could be etched at a much higher rate than the p+ silicon. In a paper titled: “Fabrication of conducting GeSi/Si microand nanotubes and helical microcoils” by S V Golod, V Ya Prinz, V I Mashanov and A K Gutakovsky, Semicond. Sci. Technol. 16 (2001) 181-185, incorporated herein by reference, it presents that p+ silicon would be etched at a much lower rate than n silicon, quoting: “As a selective etchant, an ammonium hydroxide-water solution can be used. It was shown in [8] that the 3.7 wt. % NH4OH solution has a pp+ selectivity of approximately 8000:1 at 75° C. and boron concentration p+=1020 cm−3.”

Another alternative is to form multilayers of silicon over Si1-x Gex as illustrated in “New class of Si-based superlattices: Alternating layers of crystalline Si and porous amorphous Si1-x Gex alloys” by R. W. Fathauer et al., Appl. Phys. Lett 61 (19), 9 Nov. 1992, incorporated herein by reference. In such a multilayer structure there is high degree of selectivity in etching Si1-x Gex layers over Si layers. This may be followed by oxidation such as step iii. and anneal iv. could provide multilayers of silicon over oxide. In a paper titled: “Novel Three Dimensional (3D) NAND Flash Memory Array Having Tied Bit-line and Ground Select Transistor (TiGer)” by Se Hwan Park et al, IEICE Transactions on Electronics. 05/2012, incorporated herein by reference, it presents the use of multilayers of silicon over Si1-x Gex for forming a 3D NAND device. While many of the 3D memories presented are 3D RAM and 3D ReRAM, the multilayer structure presented herein are useful for 3D NAND type memory as was presented in this paper and in many of process flow presented in the incorporated her in patents such as in U.S. Pat. No. 8,581,349 as related to FIG. 37A-37G, incorporated herein by reference.

An alternative method to the modulated-porosity method for forming c-Si/SiO2 multilayers may be to utilize the Bosch process. In a paper titled “Fabrication and Characterization of Vertically Stacked Gate-All-Around Si Nanowire FET Arrays” by Davide Sacchetto et al. at IEEE SDDR09, incorporated herein by reference, a technique used for deep hole etch has been applied to form structures of crystalline lines one on top of the other each with oxide all around. Similar techniques could be used to form the base structure for 3D memory.

Yet another alternative for forming c-Si/SiO2 multilayer structures is direct epitaxy of silicon, special oxide, and silicon again. The special oxide is a rare-earth oxide which if deposited properly would keep the crystal structure of the silicon to allow crystalline silicon on top of it as presented in U.S. patent application publication US 2014/0291752, incorporated herein by reference.

An interesting aspect of the multilayer structure that are epitaxial based rather than the layer transfer approach is that the whole structure in most cases would resemble one monolithic crystal, in which the crystal repeating element which could be a silicon atom or other molecules are very well aligned across layers. No molecular level alignment would happen in layer transfer process. So in an epitaxial process of multilayer formation the molecules forming the multilayer structure are all aligned forming lines that are parallel at better than 0.01 of degree while in layer transfer base multilayer structure between layers the molecules line would have in most case a misalignment greater than 0.1 degree. As well, in an epitaxial process of multilayer formation the molecules forming the multilayer structure from one layer to the next are aligned less than within half an atomic or molecule distance.

The epitaxy process of multilayers of an n+ type layer over a p type layer could be done at lower temperatures to reduce the dopant movement of the n+ layer, at the lower portion of the multilayer structure, into the p type layer as the multilayer structure is being formed. There are known epitaxial processes in the art which allow good quality layers to be formed while keeping the process temperature below 600° C. For example, such has been prsented in papers by D. SHAHRJERDI, titled “Low-Temperature Epitaxy of Compressively Strained Silicon Directly on Silicon Substrates” published at Journal of ELECTRONIC MATERIALS, Vol. 41, No. 3, 2012; by S. Wirths titled “Low temperature RPCVD epitaxial growth of Si1_xGex using Si2H6 and Ge2H6” published at Solid-State Electronics 83 (2013) 2-9”; and by Pere Roca I Cabarrocas titled “Low temperature plasma deposition of silicon thin films: From amorphous to crystalline” published at Journal of Non-Crystalline Solids, Elsevier, 2012, 358 (17), pp. 2000-2003; and in U.S. Pat. Nos. 7,262,116, 8,778,811 and application US 2014/0045324, all of the forgoing incorporated herein by reference.

An advantage of using oxidized porous silicon for isolating the silicon layers for the 3D memory structure is the ability to easily and selectively etch portions of these oxidized porous layers to allow the gate formation to have a larger coverage of the transistor channel to have an increased control on the memory transistor, for example, such as with gate all around or a ‘mostly’ gate all around transistor structure. In a similar way in the other forms of multilayer structure the area on top and under the channel could be etched so in the follow-on processing step of oxide and gate formation it would form a larger coverage of the channel which could be a gate all around configuration for better channel control.

Base wafers or substrates, or acceptor wafers or substrates, or target wafers substrates herein may be substantially comprised of a crystalline material, for example, mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate. Similarly, donor wafers herein may be substantially comprised of a crystalline material and may include, for example, mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate, depending on design and process flow choices.

In general the described memory structure would be arranged as a process flow forming a type of a 3D memory structure. These flows could be considered as a Lego part which could be mixed in different ways forming other variations, thus forming many types of devices. Some of these variations will be presented but as with Lego there too many variations to describe all of them. It is appreciated that artisan in the art could use these elements of process and architecture to construct other variations utilizing the teaching provided herein.

Many of these memory structures are constructed starting with multilayer of mono-crystal layers as illustrated in FIG. 1B. The mono-crystal could be doped and could have either an isolative layer in-between or sacrificial layer which could be replaced at some point in the process with an isolative layer. The multilayer structure could be fully ready or partially readied with the etching of vertical holes or trench shapes, as required for the memory structure to follow, and allows the completion of the multilayer structure which may include selective etching of the sacrificial layers in-between.

A volatile 3D memory using floating body charge is described in U.S. Pat. No. 8,114,757, incorporated herein by reference, as related to at least FIGS. 30A-30M and FIGS. 31A-31K. The following is an additional alternative for forming a 3D DRAM volatile memory.

3D Memory may be multi-layers of 2D memory in which memory cells are placed as a matrix with rows and columns. These memory cells are controlled by memory control lines such as bit-lines, source-lines, and word-lines, usually in a perpendicular arrangement, so that by selecting a specific bit-line and specific word-line one may select a specific memory cell to write to or read from. In a 3D memory matrix, having three dimensions, selecting a specific memory cell requires the selecting of the specific layer which could be done by additional memory control lines such as select-lines. As been presented herein, some of the select lines could be integrated in the semiconductor layer in which the memory devices are built into (for example, FIGS. 8F and 85). Other select lines could be deposited or formed thru epitaxial growth. These memory control lines could therefore be comprising semiconductor materials such as silicon or conductive metal layers such as tungsten aluminum or copper. Such as FIGS. 3 and 4 of incorporated application U.S. 62/215,112.

Another alternative that would not require changes in the device structure presented is to use what could be called ‘self refresh’. In a common DRAM refresh, a refresh cycle means that each cell is being read and re-written individually. In ‘self refresh’ many or even all cells could be refreshed together by driving a specific current (may be a current range or minimum current) through them. The cell holding ‘zero’ will keep its zero state and the cell holding ‘one’ will get recharged to recover their lost of floating body charge due to leakage. This technique had been detailed in a paper by Takashi Ohsawa et. al. in paper titled: “Autonomous Refresh of Floating Body Cell (FBC)” published in IEDM 2008, and in follow up paper titled: “Autonomous Refresh of Floating-Body Cell due to Current Anomaly of Impact Ionization” published by IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 56, NO. 10, OCTOBER 2009, and U.S. Pat. Nos. 8,194,487 and 8,446,794, all of the foregoing are incorporated herein by reference.

Another type of memory is resistive-memory (“ReRAM”) which is a non-volatile memory type. A 3D ReRAM has been described in U.S. Pat. No. 9,117,749, incorporated herein by reference. In general, ReRAM perform the memory function by having the resistivity change which could be achieved by driving current through the ReRAM variable resistivity medium and could be sense by measuring current or voltage through that medium. There are many types of materials that could be used for ReRAM and some of those are oxides with additional materials which could be driven into the oxide to change it resistivity. U.S. Pat. No. 8,390,326 incorporated herein by reference present the use of silicon oxide for such use. A subclass of the ReRAM are structure that allow only one time programing (“OTP”) of these mediums such as presented in U.S. Pat. No. 8,330,189 incorporated herein by reference.

A form of T-RAM cell has been described in a paper by Ahmad Z. Badwan et. al. titled “SOI Field-Effect Diode DRAM Cell: Design and Operation” published in IEEE Electron Device Letters, Vol. 34, No. 8 Aug. 2013, incorporated herein by reference. The T-RAM structured presented here and the method to process them could be adapted to build FED (Field-Effect Diode) structure and to form a 3D-FED RAM device.

A volatile 3D memory using floating body charge is described in U.S. Pat. No. 8,114,757, incorporated herein by reference, as related to at least FIGS. 30A-30M and FIGS. 31A-31K. The following is an additional alternative for forming a 3D DRAM volatile memory.

3D Memory may be multi-layers of 2D memory in which memory cells are placed as a matrix with rows and columns. These memory cells are controlled by memory control lines such as bit-lines, source-lines, and word-lines, usually in a perpendicular arrangement, so that by selecting a specific bit-line and specific word-line one may select a specific memory cell to write to or read from. In a 3D memory matrix, having three dimensions, selecting a specific memory cell requires the selecting of the specific layer which could be done by additional memory control lines such as select-lines. As been presented herein, some of the select lines could be integrated in the semiconductor layer in which the memory devices are built into (for example, FIGS. 8F and 85). Other select lines could be deposited or formed thru epitaxial growth. These memory control lines could therefore be comprising semiconductor materials such as silicon or conductive metal layers such as tungsten aluminum or copper.

FIG. 3A illustrates a starting multilayer structure 302, comprising p-type or n-type silicon 304 and isolation or sacrificial layer in-between 306 formed by many of the processes presented herein. A hard mask 308 such as silicon nitride may be patterned on top for the following etch step. As been presented herein an important advantage of these 3D memories flow is the use of one lithography step to be affecting multiple layers. Many of the memory flows will utilize this concept.

FIG. 3B illustrates the multilayer structure 310 after an etch step.

FIG. 3C illustrates the structure 311 after deposition of isolative material 312, such as SiO2, and etching holes 314 for the following step of gate stack.

FIG. 3D illustrates the structure 320 after forming the gate stack 322. The gate stack may include agate dielectric and a gate electrode material, which could be formed by CVD or ALD of first a gate oxide and then gate material which could be metal or in-situ doped polysilicon. A high quality thermal oxide may also be utilized via techniques such as radical oxidation. For example, by a TEL SPA (slot plane antenna) tool/machine, wherein oxygen radicals are generated and utilized to form thin thermal oxides (generally of single crystal silicon) at less than 400 deg C. The gate formation could be two independent side gate columns as illustrated or tied double gate or even gate all around. For the gate all around, it may require that the step of etching the gate holes 314 will include a non-directional isotropic etch step to etch the in-between layer to allow the following gate all around formation.

FIG. 3E illustrates the structure 321 after stripping off the dielectric 312, and then a step of diffusion doping to form the source 324 regions and the drain regions 326. The source regions 324 would be connected to the source line (“SL”) and the strip of the drain regions 323 would become later part of the bit-lines (“BL”). Alternatively, the SL and the BL can be used interchangeably depending on the array arrangement. These diffusion doping will convert all the exposed silicon areas that are not protected by the gates structures 322 from p type to n+ type or n type to p+ type. This will form transistors in the small pin structures 325, while the common strips 327 would serve as simple conductor acting as the bit-lines or the source-lines for the memories that could be formed by the pins transistors 325.

FIG. 3F illustrates the structure 331 with extension of the bit lines 334 which could be used for a stair-case per layer access. An optional select gate 332 could be used to select memory branch.

FIG. 3G illustrates the structure 331 after forming stair-case 336 of the bit line extension 334 and after forming the vertical connections to the bit-lines 337 and to the transistor sources 338.

FIG. 3H illustrates the structure after adding the grid of connections. The illustration is one option for structuring bit-lines 356, select-gate lines 358, source-lines 352 and word-lines 354. We can call the direction x, y, z as illustrated by the corner direction 359. These connectivity structure allow selecting a specific cell such that select gate lines 358 allow selecting the ‘x’ location by selecting the ‘x’ branches, the word-lines 354 select the ‘y’ location by selecting the gates in ‘y’ direction, and the bit-lines 356 select the ‘z’ location by selecting the drain in ‘z’ direction. The optional select-gate lines 358 allow selecting of the bank of transistors in the bank along ‘x’ direction.

FIG. 3I illustrates the structure after adding the grid of connections with an alternative structure of word-lines and bit-lines. The gate material is common along the multiple pins, which would serve as simple conductor acting as the word-lines.

FIG. 3J illustrates optional silicidation of the bit lines 327 to reduce their resistance. First only the areas designated for silicidation 362 are being exposed while all other areas are being protected by photoresist or isolation oxide. Then a metal such as Ni, Ti, Co, or other known in the art material, is deposited making contact with the bit lines side walls 362. Then thermal reaction is achieved by the use of Rapid Thermal Anneal (RTA) or laser anneal. Then the unreacted metal is etched away.

FIG. 3K illustrates a 3D memory of 3 column structure. The transistors source forming the memory cell are connected by vertical line 338 of FIG. 3G connecting with the transistors source side wall. It is enlarged view at FIG. 3K. As alternative to deposition this conductive vertical column 364 a selective epitaxy process could be used to form this vertical connection.

FIG. 3L illustrates the structure with protective cover of oxide or other protective material 366 and trench opening the side walls of the memory transistors source 368. The opening of the trenches 366 could include a step for selective removal of part of the vertical isolation between the sources of the stack transistors.

FIG. 3M illustrates the structure after a step of epitaxial growth for forming a vertical column connecting all the sources in a stack 370. These columns could be silicide to further improve their connectivity.

FIG. 3N illustrates the structure after adding contacts 372 from the top.

The illustrations in FIGS. 3A-3N were made for ease of drawings and understanding. Persons skilled in the art would understand that techniques common in memory design could be used to increase memory bit density. Such as mirroring the transistors along the bit lines sharing the bit lines for right side transistor and left side transistors and mirroring across source side sharing the vertical source lines. Such structure is been illustrated in U.S. Pat. No. 8,114,757, as related to FIGS. 30A-30M and FIGS. 31A-31K.

FIG. 3O illustrates another alternative where the vertical line connecting the source side of the stack transistors 376 are shared but the horizontal in silicon bit-lines first bit-line 374 and second bit-line 375 are not shared. One advantage of not sharing the bit-lines first bit-line 374 and second bit-line 375 is the option to add silicidation as was described in respect to FIG. 3J.

FIG. 3P illustrates another alternative where the horizontal bit lines of the stack transistors 384 are shared but the vertical line connecting the source side of the stack transistors first xtor 385 and second xtor 386 are not shared.

FIG. 4A illustrates a charge storage (may be a floating-body or charge trap, etc.) memory cell, of the type utilized for 3D RAM such as illustrated with respect to FIG. 3A-3P herein, enhanced to support a non-volatile option by adding between the gate 408 and the channel 404, charge storage layer 414 isolated with tunneling oxide 412 and isolating control oxide 416. The memory cell may include n type drain 402, p type channel 404 and n type source 406. The process forming the tunneling oxide 412, charge storage layer 414, control oxide 416 and gate 408 could be utilizing successive steps of ALD (Atomic Layer Deposition) or other type of deposition process, in place of single gate layer deposition described before. Such memory is sometimes referred to as universal memory. Each memory cell could function as high speed volatile RAM and also as low power non-volatile floating gate or charge trap memory. For some applications the combination of high speed RAM with low power non-volatile built in back-up could be attractive. Such a dual use channel is also presented in U.S. Pat. No. 7,158,410, and papers by J. W. Han et al. titled “A Unified-RAM (URAM) Cell for Multi-Functioning Capacitorless DRAM and NVM” published by IEDM 2007 and by Dong-II Moon et al. titled “Evolution of Unified-RAM: 1T-DRAM and BE-SONOS Built on a Highly Scaled Vertical Channel” published at IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 1, JANUARY 2014, all of the forgoing incorporated herein by reference.

FIG. 4B illustrates enhancements supporting the non-volatile memory option concept of FIG. 4A to the 3D memory illustrated as related to FIG. 3A-3P.

FIG. 4C illustrates enhancements supporting the non-volatile memory option concept of FIG. 4A to the 3D memory illustrated as related to FIG. 14A-14H of U.S. Patent Application No. 62/221,618, incorporated herein by reference.

In U.S. Pat. No. 8,902,663, incorporated herein by reference; a select transistor is presented at the upper layer of a 3D memory cell column as presented in respect to FIG. 8 and the related description there. Such per column select transistor could be effective for many of the memory structures presented herein. In many of these structures this top layer select transistor could be processed together with the transistors forming the memory cell underneath by sharing the same lithography process and other processes, thus the top select transistor ends up being at least partially self-aligned with the memory cells underneath it. Having these select transistors could give additional control flexibility and could provide a buffer to the memory cells to improve overall memory access speed and assist the read or write operations. In the following a detailed description is provided for the process to add such select transistors to one of the 3D T-RAM structure presented herein. It would be obvious for a semiconductor memory artisan to apply the concept to many of the other memory structure presented herein.

FIG. 5A illustrates prior art 2D memory device. The memory cells 2D matrix 502 is surrounded by memory control circuits 504 such as decoders, sense amplifiers and interfaces with external devices. Circuits 504 are called accordingly memory peripherals. The memory control lines 506 are running across the memory array columns and rows all the way to the peripherals circuits.

FIG. 5B illustrates side view side view cross-section of cross section of prior art 3D memory device. Recently 3D memory also known as 3D-NAND has been released to the market. In such 3D NAND the memory cell 3D matrix 512 is still surrounded by the memory control circuits 514 such as decoders, sense amplifiers and interfaces with external devices. These memory peripherals circuits are being processed in very similar way to the 2D memory circuits on the silicon wafer substrate. In these 3D memories the control lines 516 are running through the memory array columns and rows all the way across the memory matrix, some of these control lines are being built on top of the 3D matrix and some of those going through the bulk body of the memory matrix but at the edges they are brought down to the 2D peripheral circuits.

FIG. 5C illustrates side view cross-section of a 3D memory formed in accordance with the present invention using the techniques presented herein. The 3D memory matrix 522 comprises columns and rows having the control circuits 524 which could still be called peripherals circuits but they could be formed on top of the memory matrix. According to this embodiment, control lines 526 are built underneath the peripheral circuits, in-between the peripheral circuits 524 and the memory matrix 522.

FIG. 5D illustrates a side-view cross section of an alternative 3D memories formed using the techniques presented herein in which the control lines and the control circuits are also underneath the memory cell matrix.

This new type of 3D memories could be constructed to achieve significant advantage over the prior art by utilizing the 3D architecture as illustrated in at least FIGS. 5C and 5D, to break the control lines 526 into smaller chunks with the control circuits being repeated for each chunk. Shorter control lines could allow reduction of memory access read and write and refresh and could allow faster memory access time. The stair-case for layer access could impact device cost if it is repeated too often. Proper architecture and overall memory control strategy could use long per layer control lines (not shown) to save staircase overhead area Accordingly, the memory architecture should be designed to use long control lines to the memory within the same layer for as long as possible so having the other (vertical) control lines relatively short while the per layer control lines are still long, could achieve the benefits of low power and fast access maintained for most of the time.

As was discussed in respect to FIG. 4A, FIG. 4B, and FIG. 4C these 3D memory could be enhanced to include dual functionality—a high speed volatile memory and a low power low speed non volatile memory. These figures illustrate non-volatile cells utilizing charge trap or floating gate technology. Alternatively other non-volatile memory technologies could be implemented such as Re-RAM, M-RAM, Phase-Change, etc. For some of these other non volatile technologies it might be preferred to split the gates on the side of the memory cell channel so one side will control the volatile function and the other side could control the non-volatile function. Alternatively splitting the gate could be used to increase the non-volatile memory density for cells that the channel is wide enough to allow 2-bit per cell techniques.

In most cases the volatile operation could interfere with the non-volatile operation of the memory cells. So it is common to avoid using them together, and to have the unused portion electrically reset to reduce interference with the used portion.

There are many use modes which such enhanced memory could be used including, splitting the memory bank for volatile and non-volatile portions, power down with saving the volatile information into the non-volatile portion, and reduce sleep power by moving the volatile information into the non volatile portion. For some of these use modes the 3D structures presented in here with control circuits on top and/or on the bottom—FIG. 5B and FIG. 5C—could be constructed for enhanced effectiveness. For these modes the time and the power required to move the data from the volatile portion into the non volatile portion, could be reduced by order of magnitude.

FIG. 6A illustrates the top view construction of 3D memory 600 for such enhanced operation. The side memory control circuits 601 control the interface to external devices both for instruction, and data in and out. These circuits 601 could include the per-layer decoders and control to support all internal memory blocks so the stair-case area overhead could be minimized. The 3D memory is than partitioned to many blocks 602 each is a sub-memory structure with its own top peripherals circuits to control most of its control lines. In such design the operation of moving data from one portion to the other (for example, one block 602 to another block 602) could be done in parallel in all the units reducing the time and power by orders of magnitude. The side memory control circuits 601 could synchronize these operations so it will be done one layer at a time.

FIG. 6B illustrates the block diagram of peripherals circuit of a block 602. The block diagram 604 of such unit block control circuits could include:

Central controller 630 commanding and controlling these operations for sleep mode recovery mode etc.

In-Out interface controller to interface with data and with the device controller 601.

Sense Amplifiers 620 to sense the data of a memory cell according to the mode of operation and to convert side memory control circuits 601 to a digital bit which could be temporarily stored in the unit memory cash 634.

Signal generators 618 to generate the required voltages and current for the proper read write of the memory cells. Some of these circuitry, such as charge pumps, could be shared by all units and be placed in side memory control circuits 601.

Blocks 612, 614, 616, 617 for the various control lines such as bit-lines, word-lines, gate-lines, select lines etc. The layer decoders 616 might be removed from the unit 604 into the general per-layer circuits at side memory control circuits 601.

Additional advantage for such memory architecture is the potential ability to move in and out very large blocks of data as many blocks 602 could be accessed in parallel. If only a single per-layer stair case is used for maximum array efficiency than the parallel action would be limited to single layer at a time. For many applications this could be managed by proper system data structure and control.

Such 3D Memory could include redundancy circuitry to allow repair of control functions as well as replacement of faulty memory bits. The architecture of FIG. 5D could be used to allow access to substantially all of the memory control lines from both side—top and bottom and to have duplication of the device control circuit 524 at the bottom. Such redundancy scheme could be broken down to the memory block control units 602 level. So if one unit block control circuits are faulty then it is replaced by its compatible one on the other end/side. Alternatively each unit block control circuitry could be built with two stratum one being a back-up for the other as was detailed herein before.

The memory control redundancy could be applied to any of the 3D memories herein.

Another embodiment of monolithic 3D memory according to the present invention is demonstrated in FIGS. 7-13 and outlined below. It utilizes mono-crystalline transistors whose channels are vertically oriented so the current flows vertically through the device across each of the device layers rather than horizontally along the device layers. Yet, this structure is designed to be low cost by sharing lithography, etch and deposition of multiple layers together forming self-aligned vertically oriented transistors.

FIG. 7A illustrates the starting material structure for these vertically oriented 3D memories. It has interchanging layers of designated source/drain (S/D) material 702 over designated channel material 704. These layers could be processed by epitaxial steps with in-situ alternating N/N+ type to P/P+ type doping and/or between alternating silicon to SiGe layer, etc. The selection of the composition of these layers could include consideration of a choice of a high etch selectivity process between adjacent layers to enable faster etching of the designated channel layers 704 than the (S/D) layers 702. The thickness of these layers could be from a few nm up to hundreds of nm. Suppression of dopant diffusion may be accomplished by use of low temperature epitaxial processes, for example the AMAT 450-500 deg C. epi process. As well, interlayer diffusion barriers may be employed, for example, such as a thin single or double atomic layer of a diffusion suppressor, such as carbon.

For example the composition of the S/D layers 702 could be N+ silicon while the channel layers 704 could be P type silicon and the selective etch process would utilize anodic etching as detailed in U.S. Pat. No. 8,470,689 and as was described herein.

An alternative is to use P++ silicon for the S/D layers 702 and N silicon for channel layers 704 and the later selective etch would utilize the NH4OH solution as taught by Golod et al.

Yet another alternative is to use N+ silicon for the (S/D) layers 702 and P type SiGe for channel layers 704 and the later selective etch would utilize the process taught by Se Hwan Park et al in a piper titled “Novel Three Dimensional (3D) NAND Flash Memory Array Having Tied Bit-line and Ground Select Transistor (TiGer)” published in TECHNICAL REPORT OF IEICE in 711 (APWF_PSH), a paper by FL W. Fathauer et al titled “New class of Si-based superlattices: Alternating layers of crystalline Si and porous amorphous Si, —, Ge, alloys” published by Appl. Phys. Lett. 61 (19), 9 Nov. 1992, a paper by Jang-GnYun titled “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory” published at IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 58, NO. 4, APRIL2011 and U.S. Pat. No. 8,501,609 all incorporated herein by reference.

For simplicity we shall outline the flow for a vertical channel 3D memory structure including S/D layers 702 as N+ silicon and P type silicon for channel layers 704. A person skilled in the art would be able to modify the flow for other alternative embodiments.

On top of the multilayer of alternating 702/704 a hard mask material 706 is deposited.

FIG. 7B illustrates etching the structure to form multilayer ridges 709 and valleys 708 in between resulted in repeating ridges structure 707. The width of the ridges and the valleys could be from 10 nm or even lower to a few hundreds of nm. At current state of technology about 50 nm could be good choice. The width of the ridges and the valleys could be set in consideration of the thickness of layers 702/704, the type of memory build and other consideration. Similar width and thickness could be appropriate.

FIG. 7C illustrates the structure after a step of selective isotropic etches of the channel layers 704, forming horizontal notches 719 while keeping the S/D layers 710 mostly untouched. A selective plasma etch may be used. The selectivity may be greatly increased by first forming pores in the desired regions of the channel layers by selective anodization processing. Then the plasma etch would be very selective. Warm KOH may also be utilized to selectively etch down the <100> crystallographic planes.

FIG. 7D illustrates the structure after depositing a stack of tunneling oxide layer/charge trap layer/control oxide layer 712 such as oxide/nitride/oxide and gate conductive material 714. The step could be done by Atomic Layer Deposition (ALD) or alternative processes used for semiconductor device fabrications. A directional anisotropic etch step may be used to remove substantially all gate material from the side walls of the S/D layers 713. A slight touch-up isotropic etch may also be employed to remove stringers.

FIG. 7E illustrates the structure after filling the trenches 708 of FIG. 7B with insulating material 716, followed by an etch step which forms vertical gaps 720 along the ridges 709 of FIG. 7B to form vertical strings 722 of alternating N+/P material. The etch step could be done in two steps. First anisotropic etch the stack of multilayer of alternating 702/704 to form the vertical individual strings 722, and then isotropic selective etch to remove the source/drain 702 in-between the gate stack 712, while leaving the horizontal going gate and oxide lines. The etching may be stopped before the lowest N+ layer so it will serve as a common ground. Conductive etch stop layers may be employed.

FIG. 7F illustrates a vertical cross-sectional view along the metal gate word line of the structure of FIG. 7E. The empty spaces left after removal of the in-between channel material 734 (previously vertical gaps 720) may serve as memory cell isolation. The remaining bottom material 738 could serve as a common ground line. The lower gate line 740 could serve as ground select gate. The top of the vertical strings 732 would serve as the string drain region and could be connected later to the bit-lines (BL). The obtained structure forms a matrix vertically oriented non-volatile NAND memory cells. The horizontal control gates 742 form the memory word lines controlling current through the vertical channels between successive source/drain layers, and may form vertical NAND strings.

FIG. 7G illustrates a vertical cross section of one vertical NAND string 736 perpendicular to the metal-gate word-line direction.

FIG. 7H illustrates the 3D NAND memory structure after adding the grid of memory control lines: word-lines 765, bit-lines 767, string select-lines 761 and ground select-lines 763.

In this 3D memory structure, and also in most other memory structures herein, the horizontal per layer line through the matrix could be the limiting factor of the power performance of the device with respect to how long it could be made. On the other hand the area required for the stair-case interconnect structure dictates longer lines to save in silicon real-estate and reduce cost per bit. A preferred design might place such stair-case on both sides of the line which could help reduce cell to cell variation in addition to improving power and delay. If the device is fractured into multiple blocks real estate efficiency can be improved by sharing each stair case between both the right and the left sides of each block.

FIG. 8A illustrates a structure for the formation of a NOR type 3D memory. It starts from the structure 2007 illustrated in FIG. 20B of the incorporated U.S. patent application 62/221,618. Masking an etching techniques are used to form first elongated strips of vertically alternating N+/P type single crystal silicon, coated by dielectric multilayer of tunneling-oxide layer, charge-trap layer and control gate oxide layer forming the charge storage stack 802. On top of it a gate 804 material such as tungsten (W) or polysilicon or other form of memory array gate material is deposited, said charge storage stack 802 and gate overcoat 804 being patterned by masking and etching techniques to form second elongated strips perpendicular to the direction of said first elongated strips. The deposition step could use ALD techniques.

FIG. 8B illustrates the structure after selective isotropic etching the channel material layer wherever not covered by the gate stack, leaving voids 812 in between the horizontal strips of the un-etched S/D material. Under the gate stack the channels 814 are not etched as they are protected by the gate stack.

FIG. 8C illustrates a cross section of the structure of FIG. 8B. The gates 822 control the conductivity between the source and the drain 820 through the channel 821. In this structure the S/D material acts as source and drain under the gates 822 and as conductive lines 823 used to conduct the memory cell sensing current to the sense-amplifier circuitry (not shown) the memory control line connecting the S/D along the layer for each ridge. When the S/D material is selected to be N+ silicon and the channel material is selected to be P type silicon, then each memory cell would include an NPN transistor with two sidegate stacks to form a non-volatile memory cell. As the S/D lines are running along the ridge all the way to the end of the block then proper design would enable selecting a pair of adjacent S/D lines to select a specific channel layer 821 within a ridge, and a specific gate 822 will select the column at which the sensed memory cell is located. The vertical gate stack could then be selected to mad write to a specific memory cell on that ridge.

An alternative technique for selective removal of the P type material regions between channels while not etching the channel regions and the N type S/D lines is to use an anodizing process which would etch the P regions between channels to convert them to porous regions. The anodizing wet etching is highly selective and would not affect the N type S/D lines, especially if the process is done in dark as previously discussed such as in U.S. Pat. No. 8,470,689. For further enhancement of this anodizing porous formation the S/D lines could be used to deliver the anodizing current throughout various regions of the structure. An additional enhancement could be added by using positive voltage on substantially all of gates 804 conductors. Such positive voltage on the gates will further deplete the channels blocking the anodizing etch for the channel region while the entire P region in between are etched and become porous. The selected voltages for efficient selective anodization will depend on engineering considerations, for example, the type of the body, and doping concentrations. FIG. 8D illustrates a top view the ridge structure of FIG. 8A in which the leftmost side gate 832 (a shown in this example) is made without oxide underneath making it the anode delivering the positive anodizing current to all the N type S/D lines. The top most plate 834 illustrates the connection to all other gates 836 to deliver the depletion voltage to protect the P channel from being etched during the anodizing step. Following the completion of the anodizing step the delivery plates leftmost side gate 832 and top most plate 834 could be etched off. Then the porous region could be etched away, leveraging the many orders of magnitude higher etch rate of porous regions vs. solid silicon regions, to remove out all these porous regions, thus forming the structure illustrated in FIG. 8B. A selective wet etch, for example, warm KOH or TMAH, may be utilized to selectively etch the <100> planes and much slower on the <110> planes, thus minimizing undesirable undercutting. With reference to at least U.S. Pat. Nos. 4,600,934 and 5,096,535 and Schroeder, H., et al., “Convex Corner Undercutting of (100) Silicon in Anisotropic KOH Etching: The New Step-Flow Model of 3-D Structuring and First Simulation Results,” J. Microelectromechanical Systems, vol. 10, no. 1, March 2001, pp. 88-97, all of the forgoing incorporated herein by reference.

In another alternative the above process of anodizing could be extended to achieve further an all-layer anodization under the ridge structure to support a following step of transferring the complete 3D NOR structure to another wafer cutting the formed porous layer underneath. The all-layer cut porous formation could alternatively be formed after the step of the second formation of O/N/O layer as illustrated in FIG. 10. Following the formation of the structure illustrated in FIG. 10 a directional etch-RIE could be applied opening the bottom of the area in between RIDGE allowing anodizing process to be applied to form cut porous underneath the 3D NOR structure illustrated in FIG. 10. Such a process and structure could allow flipping the NOR structure and bonding it on top of another wafer to add control lines and control circuits on the other side of the NOR structure. This could be an alternative to having the porous already there from the start as previously discussed herein.

FIG. 8E illustrates the 3D NOR structure after adding interconnection to the control lines. The shared gates forming word-lines WL1, WL2, WL3 run perpendicular the ridges controlled by word-lines WL1, WL2, WL3. The interconnect stair case is used to connect control signals to the in-layer S/D lines. The interconnect line BL1 controls the S/D of the first layer of all the ridges in the memory block, BL2 controls the second layers and so forth. The select-lines control the access of the bit lines to the S/D of the ridges. SL1 control the access to the first ridge, SL2 to the second ridge and so forth.

The ridge control may be constructed by first removing the channel material at the region designated for ridge control. Then the select gate transistors are formed on the S/D line as outlined above. The select gate transistors may be designed to function as junction less transistors or as gate all around nano-wires. In some cases it might be desired to thin the S/D lines in the region designated as junction less transistor or nano-wire to achieve better gate control. Such thinning would narrow these regions to about 20 nm thickness or about 15 nm or about 10 nm.

FIG. 8F illustrates another embodiment of 3D NOR structure for control for the per layer control lines. It provides a 3D NOR structure without using select gates. Instead, the bit addressing can be made by selecting a pair of source line (SL) and bit line (BL). The unit cell uses a single BL sharing two cells. The S/D lines are split to odd layers jointly connected by select-lines in parallel to the word-lines. In this structure, the source line is considered as the select line. According SL1 connects the S/D lines of layer 1, SL2 connects the S/D lines of layer 3 and so forth. And the even layers except layer 4 (for example) are connected per ridge along the ridge direction by bit-lines in vertical orientation to the word lines. The layer 4 is left floating to separate the upper and lower unit cell. Accordingly BL1 connects the S/D of all even layers except 4 of the first ridge, BL2 connects the S/D of all even layers of the second ridge and so forth. By selecting one bit-line and one select-line a specific layer within a specific ridge would have both S/D of its memory cell active all other cells in the matrix may have one or none of their S/D active. Selecting a specific word-line will activate one memory cell of those cells that have both of their S/D active. Accordingly a specific memory cell with specific x, y, z location could be selected. These approaches could have some variations which could work too, such as all S/D of layer 2,3, 5,6, 8,9, . . . may be connected to respectively SL1, SL2, SL3, SL4, SL5, SL6 while per ridge layer 1,4,7,10, . . . of ridge 1 are connected to BL1 and those of ridge 2 to BL2 and so forth Such allocation could also allow selecting one specific channel of a specific layer at a specific ridge by selecting one specific bit-line (BLx) and one specific select-line (Sly). This 3D NOR structure could be enhanced using the universal memory concept of FIG. 4A. The cell channel becomes the floating body and the gate stack would be enhanced to support such dual functionality. The use of the enhanced 3D NOR and the various use mode and system architecture could be similar to those discussed herein.

The architecture referred to by naming as 3D NOR and illustrated herein in reference to FIG. 8A to 8E and in similar illustrations herein is also similar to a structure to what is called in the art ‘AND’ nonvolatile memory architecture, for example as presented in a patent such as U.S. Pat. No. 7,414,889, and as 1T MONOS as in a paper by Hidenori Mitani et al. titled “A 90 nm Embedded 1T-MONOS Flash Macro for Automotive Applications . . . ” presented at ISSCC 2016, both incorporated herein by reference.

Additional enhancement to such 3D NOR is to break the gate control to two independent side gates—left gates and right gates, as shown in FIG. 9A. For example, control line WL1_R will control all the right side gates and WL_L would control all the left side gates. Such split could allow doubling the storage capacity. A channel width of 50 nm or larger has been used for such ‘two bit’ per cell functionality.

These two gate control lines can be placed on the top connection layer side by side as illustrated in FIG. 9A, or alternatively one on top and one under bottom as illustrated in FIG. 9B.

Additional enhancement to such 3D NOR is to implement MirrorBit® technology as was produced commercially by Spansion for NOR products.

These two enhancements could be combined to allow ‘4 bit per cell’ as is illustrated in FIG. 9C. Such technology is detailed in U.S. Pat. No. 7,091,551 incorporated herein by reference.

Another known enhancement is to control the amount of charge being stored in a cell to allow multi-level voltages per cell, hence coding more than 1 bit per cell. These different enhancement techniques could be combined to achieve even higher number of bits per cell. Accordingly if each corner is designed to hold 4 levels then the cell could store 16 bits. If more levels are managed at each corner than the storage capacity of a cell could be even higher.

FIG. 9D illustrates a memory block with a stair case on both sides of the S/D lines. This could be useful for redundancy and better access time and less access time variation between cells along the ridge.

The general approach to select and access a specific bit could be as follows:

Additional alternative is to add side gates to the other facet of the 3D NOR channels. So starting from the structure illustrated in FIG. 8B the O/N/O layers could be deposited using ALD or compatible deposition technique resulting with the structure illustrated in FIG. 10. The new O/N/O layers 1002 are now covering the other two facets of the channel.

FIG. 11 illustrates the structure after adding in the new side gates material 1102 which could be polysilicon or alternative conductor such as tungsten. This deposition could start with ALD and then other methods of depositions such as CVD.

FIG. 12A illustrates the structure after step of CMP which also form isolated side gate lines 1202 for the two facet of the channel. FIG. 12B show the structure at orthogonal cut across the new gate regions 1202 showing the S/D bit-lines 1204 going through and isolated from the new conductive gates by the O/N/O layers which were deposited for the other facet of the channel and do also provide isolation from all other structures. The new gate region 1202 becomes continuous along the WL direction by filling the new gate material 1202 in between S/D regions. FIG. 12C is a ‘cut’ look in between the ridges showing the new gates 1202 and the old gates 1212.

FIG. 13A illustrates the structure after adding the control lines. It utilizes similar concepts to the structure illustrated in FIG. 8E, but with each word-line of FIG. 8E is broken into two word-lines similar to the illustration of FIG. 9A or its two sided alternative FIG. 9B. In addition the new gates are forming new word lines in FIG. 13A—word-lines: WL1, WL4, WL7, WL10.

FIG. 13B illustrates the structure after adding control lines of the alternative illustrated in FIG. 8E. The word lines illustrated in FIG. 13B could be arranged such that WL2, WL5, WL8, . . . , are elevated to an upper layer and WL3, WL6, WL9, . . . are moved using short strips to overlay WL4, WL7, WL10; so accordingly two metal pitches could support those the word-lines per channel.

FIG. 14A is an illustration of one memory cell within the 3D NOR memory fabric illustrated in at least FIG. 11 to FIG. 13B.

FIG. 14B is an illustration of the memory cell of FIG. 14A in an ‘exploded view’ broken up into three illustrative components. The memory cell may include the 1st gates, first front gate 1441 and first back gate 1443; the 2nd gates, left side second gate 1444 and right side second gate 1442; and (at the lower part of FIG. 14B) the memory channel 1440, the top S/D line 1432, and the bottom S/D line 1430. The lower part of FIG. 14B illustrates a fundamental structure of the memory cell being a substantially cubic P (could be other shapes) memory channel 1440 with top and bottom facets connected to N+S/D lines top S/D line 1432 and bottom S/D line 1430 while the side facets are isolated by the first O/N/O and second O/N/O. This is effectively a floating body (“FB”) NPN transistor which could also be used for floating body random access memory (“FB-RAM”) as was presented before in respect to FIG. 4A. Thus, effectively the memory cell within the 3D NOR memory fabric is a Universal memory which could be used for non-volatile (“NV”) storage using the charge trap in the O/N/O gate first and second gate stack or as high speed volatile FB-RAM leveraging the floating body of the P channel. The FB-RAM could be refreshed using techniques described elsewhere and herein using the terms such as ‘periodic refresh’, ‘self-refresh’ or “Autonomous Refresh”.

FIG. 14C is a ‘zoomed-in’ illustration of the memory channel of FIGS. 14A and 14B showing portions of the top S/D line 1402, the bottom S/D line 1400, and the four side gates: the front gate 1411, the new right gate 1412, the back gate 1413, and the new left gate 1414. In this 3D NOR structure every adjacent memory cell has a gate in between acting as, for example, a right gate to one channel and a left gate for the adjacent channel.

The move from a memory cell with two facet of gated control charged trap surfaces to a memory cell with four facets of gated control charged trap surfaces would allow a doubling of the memory cell storage capacity. Moreover, a smart control leveraging these multiple gate memory cells could enable a far larger increase in per cell storage capacity as will be described in the following.

FIG. 15A illustrates a simple operation mode of the 4-Gate 3D NOR in which each facet is independently operated & controlled by that facet gate allowing 4 bits per cell. Deploying the well-known MirrorBit control by source-drain swapping and properly operating to Top S/D line and the Bottom S/D line could be used to double the bit per facet resulting with a total 8 bits per cell. It also well-known that every bit location could be extended to multiple coded by multilevel technique in which the operation could charge different amounts of charge based on the data. Common in the industry today are 8 levels corresponding to 3 bit stored in one bit location site. Accordingly FIG. 15A could represent 24 bits per cell.

This multilevel technique could apply to the following higher bit sites per facet scheme just as well.

FIG. 15A illustrate a more advanced operation mode of the 4-Gate 3D NOR in which three gates are involved for operating the memory cell. The facet gate performs the main function while the two other gates provide charge direction to focus the operation into the right corner or to the left corner. This yields 4 sites per facet and 16 bits for the memory cell.

FIG. 15B illustrate a more advanced operation mode of the 4-Gate 3D NOR in which three gates are involve for operating the memory cell. The facet gate performs the main function while the two other adjacent gates provide charge direction to focus the operation into the right corner or to the left corner by enhancing or suppressing the electric field by choosing proper voltage level and polarity. This yield 4 sites per facet and 16 bits for the memory cell.

FIG. 15C illustrate even more advanced operation mode of the 4-Gate 3D NOR in which three gates are involved for operating the memory cell. The facet gate performs the main function while the two other adjacent gates provide charge direction to focus the operation into the right corner, to the left corner or to the middle by enhancing or suppressing the electric field by choosing proper voltage level and polarity. This yields 6 sites per facet and 24 bits for the memory cell.

FIG. 15D illustrate very advanced operation mode of the 4-Gate 3D NOR in which three gates are involved for operating the memory cell. The facet gate performs the main function while the two other side gates provide charge direction to focus the operation into 4 sites along the facet edge facing the S/D lines yielding 8 sites per facet and 32 bits for the memory cell by enhancing or suppressing the electric field by more fine tuning proper voltage level and polarity. More sites per edge could be designed based on channel sizes & technology parameters considering how many levels per site are designed and other considerations.

To further illustrates the 4-Gate 3D NOR operation a table for the operating mode is provided. The memory channel has one facet facing and connecting to the top S/D line (S/Dtop) and one to the bottom S/D line (S/Dbottom), it has four gate controlled facet. For the facet the table is referring the gate controlling that facet would be called C-Gate, the supporting gate on its right side would be called R-Gate, and the one on its left L-Gate. The table suggests specific voltages but those could be consider relative values, based upon design and engineering considerations. The voltage to perform write into the charge traps is called 8v and accordingly the erase is −8V. Values such as 2v, 4v and 6v are high enough to direct the charge but not high enough to cause significant charge trapping.

FIG. 16 illustrates a channel with a facet holding bit1 and bit 2, controlled by C-Gate 1606 with right gate R-Gate 1609 and left gate L-Gate 1608, a top S/D line 1604 S/Dtop close to Bit1 and a bottom S/D line 1602 S/Dbottom close to Bit2

FIG. 17 illustrates an example of the operating conditions for that storage facet. The read is by measuring the current (Vth) between the S/Dtop to S/Dbottom when pulsing S/Dtop from low to high, and swapping it for reading Bit2. These operating conditions arm well known as this is the common NOR with MirrorBit.

FIG. 18 illustrates 4 bit naming and locations on a facet.

FIG. 19 illustrates the operating condition for that storage facet. The read is by measuring the current (Vth) between the S/Dtop to S/Dbottom when pulsing S/Dtop from low to high.

FIG. 20 illustrates 6 bit naming and locations on a facet.

FIG. 21 illustrates the operating condition for that storage facet. The read is by measuring the current (Vth) between the S/Dtop to S/Dbottom when pulsing S/Dtop from low to high.

FIG. 22 illustrates 8 bit naming and location on a facet.

FIG. 23 illustrates the operating condition for that storage facet. The read is by measuring the current (Vth) between the S/Dtop to S/Dbottom when pulsing S/Dtop from low to high.

Engineering the memory peripheral circuits for the memory matrix including the circuits to generate the required signals for the memory control lines and the sense circuits to sense the memory content is a well-practiced memory engineering task. The memory structure presented herein adds some less common variation as a word-line controlling a gate may function as a R-Gate or as C-Gate or as L-Gate depend on the specific channel currently in action. In the following we review the high level architecture for such a memory control circuit.

The discussion would be for one of the many alternative architecture options—of an 8 bit per facet as illustrated in FIG. 22 with ridge select control as illustrated in FIG. 8E and word-line arrange as illustrated in FIG. 13.

As an alternative the gate control lines of the cells adjacent to a channel which is being written to or read from could be put into negative voltage such as −4v to disable these adjacent channels. So for example if in reference to FIG. 13 a WL4 is active as being the control gate (C-Gate) for the channel between underneath 1302 between WL2 and WL3, so WL2 and WL3 are acting as L-Gate and R-Gate respectively, then WL5, WL6 are both being set to −4v negative (which still do not erase), and also WL7 could be set to −4v negative, so the right channel to WL4 underneath 1304 is deactivated to avoid disturb.

FIG. 24 illustrate a memory addressing format 2400 which could include 0-2 bits to select the specific facet (F0-1), a few bits to select the specific Channel (C0-1), a few bits to select the specific layer (L0-k), a few bits to select the specific Ridge (R0-j) and a few bits to select the specific memory Block (B0-i). The decoding function of the Block and Ridge is straight forward.

FIG. 25A illustrates block diagram of the circuit controlling each of the bit-lines—S/D line 1 in which 1 denotes the layer. The decoder 2506 will assert one line of the 1 lines for each layer. The signal generator 2508 will provide the required signals that could be applied to the S/D line. The signal generator 2404 will activate signals only to the S/D line 1′ for ‘bottom’ function activated by 1′ or ‘top’ function activated by 1′+1 to provide the proper signal to the selected channel according to the table of FIG. 23 and the operation control as signaled by the S/D control 2502 to activate write, read or erase.

FIG. 25B illustrates a general block diagram of the circuits to control the word-lines. Each word-line could function as R-Gate, C-Gate or L-Gate according to the Chanel decoding and the Facet decoding. The Gate-Lines could affect two channels so the decoding needs to account for it and activate the function based on the choice of Channel Facet and for some also odd or even Ridge. For each gate lines three channels may need to be considered. The circuit could be varied to support the three flavors of gates (WL1, WL4, WL7 . . . ), (WL2, WL5, WL8, . . . ) and (WL3, WL6, WL9, . . . ). For each Word-Line (WLm) a circuit as illustrated in FIG. 25B could be constructed. The circuit could be activated if one of the lines (C−1, C, or C+1) is activated by the channel decoder 2514. Than a word-line WL m could function as R-Gate, C-Gate or L-Gate according to the selection of Facet as decoded by the Facet decoder 2516 and the activated channel line. Each WL m could have a channel signal generator 2500 which could include three generators, one for the R-Gate function 2517, one for the C-Gate function 2518, and one for the L-Gate function 2519. These generators would generate the right signal according to the selected function as could be signaled by the Write/read control 2512 and using reference signals provided by the reference signal source 2528.

The reference signal generator 2528 provides the required signals to operate the read write operations. All the voltages suggested herein are suggested voltages for some conceptual 3D-NOR. These signal levels could be adjusted for specific designs based on the choice of materials, process flow, layer thicknesses, and feature sizes.

Another known enhancement technique is to control the amount of charge being trapped in a cell to allow coding of more than 1 bit based on the amount of charge. These different enhancement techniques could be combined to achieve an even higher number of bits per cell. Current charge trap memories are known to achieve 3 bits or 8 levels per cell. A white paper titled “MirrorBit® Quad Technology: The First 4-bit-per-cell Flash Memory Spansion™ MirrorBit Quad Technology to Expand Flash Memory Innovation for Electronic Devices” was published by Spansion—www.spansion, Doc. 43704A (September 2006), incorporated herein by reference. The paper shows the use of MirrorBit in which every bit site could be programmed to one of 4 levels representing 2 bits, providing in total 4 bits per cell. Adapting such to the HD-NOR could result with 54 bits per cell non-volatile memory structure. And the structure could be organized to have some of the memory used as fast access FB-RAM for which a self-refresh mode could be added. In addition, known techniques such as Probabilistic error correction in multi-bit-per-cell flash memory as described in U.S. Pat. No. 8,966,342, incorporated herein by reference, could be integrated for increased robustness of such memory operations.

FIG. 26 illustrates an exemplary architecture of a 3D-NOR array. It could be a standalone device structure or embedded within a larger SoC. It illustrates a modular memory structure of 64 memory blocks, for example, first memory block 2601 and second memory block 2602 with the peripheral circuits built-in a corresponding modular structure with 8 top units Pt_i to control the word-lines and additional 8 bottom units Pb_i to control the word-lines, and 8 left side units P1_i to control the bit-lines and 8 right side units Pr_i to control the bit-lines. These could be used to drive the control lines from both sides to improve performance and reduce variability. By accessing from both sides the S/D line resistivity could be neutralized as the overall resistivity of the Source line access plus the Drain line access would be the same and would not highly dependent on the specific memory cell location along the ridge. Accordingly the read and write to a specific cell within a ridge would be substantially similar for all cells of that ridge In addition it could also be used as redundancy so that single control unit failures could be recovered.

This architecture could also support additional modes of operation. The structure could be designed to allow independent access to 8 blocks provided none of them share the Peripherals circuits. It could designed to support synchronized access of up to 8 units sharing the same row or sharing the same column and or the same layer, reducing access power and still provides multiple bits.

It could be designed to support on chip transfer from the non-volatile portion to the high speed FB-RAM portion or the other way. Such transfer could be done in parallel to or from 8 blocks reducing time and power for such transfer. Such capabilities could allow high speed access with a low power operating mode. So data is transferred to FB-DRAM designated block for fast access but could stored back into the NOR NV section for sleep or power down.

The corners Clt, Crt, Clb, Crb could be used for device top level control for the operating mode, to generate the special voltage source required for read and write, and for interface to external devices.

In general memory design it is common to use partitioning which utilizes powers of 2 numbers such as: 4, 8, 16, 32, 64 . . . . Such work well with decoding and addressing. Yet, FIG. 20 illustrates a structure for which the number of bits sited within a facet is 6 challenging the decoding function. An optional solution is to find a memory allocation which would be close enough to bridge over this challenge with minimal cost. As an example a facet of 6 sites might allow each site to have 3 levels representing a total memory space of 18 bits. This could be mapped to an address space of 4 bit which would need 16 memory storage options out of the potential 18. A simple look up table could be used for the circuit to support such memory allocation.

Alternatively 3 layers could be used to form the 18 memory sites of which 16 would be used. Or 11 layers to form 66 sites of which 64 could be used reducing further the unused memory sites, which could also be used as redundancy for repair of defective sites with proper look up table in the control circuits.

The three gates control of the charge trap layers of this 3D-NOR as illustrated in FIG. 22 could be used for distributed bits allocation rather than distinct sites, is illustrated in FIG. 27.

FIG. 28 illustrates the concept of utilizing the right gate 2811 and left gate 2813 to focus the trapping region to a zone of trapping region 2802 driven by the control gate 2801 high ‘programming’ voltage. The R-Gate voltage and the L-Gate voltage need to be below the voltage which forces charges into the charge trap layer (write) or off the charge trap layer (erase). The negative voltage of the right gate depletes the channel region under its control 2812 while the negative voltage of the left gate depletes the channel region under its control 2814 focus the region of charge trapping. Controlling the process could allow a scanning of the region within the channel for which charge could be available and accordingly allows forming a distribution of charges trapped at the trapping layer. This could be done by controlling the voltage of the side gates to form a scanning like charges within the channel while control of the control gate 2801 programming voltage and the S/D charges forming current could control the amount of charges being trapped at the ‘focus’ zone 2802.

FIG. 29 illustrates the use of positive voltage to form trapping ‘focus’. Adding positive voltage to the R-Gate and L-Gate could be used to extend the width of the controlled regions within the channel.

FIG. 30 illustrates the concept of using the scanning concept for reading. For reading the R-Gate and the L-Gate could be controlled to form scanning just like in writing but the C-Gate voltage is kept below the voltage that drive charges in or out of the charge trap layer, and by monitoring the current through the channel by sensing the selected S/D lines and monitoring their current.

FIG. 31 illustrates a block erase operation in which all the charges are being forced out from their respective trap zones.

This distributed form of storage could help reduce the sensitivity to local defect and increase the overall memory capacity.

For this distributed memory storage technique the Orthonormal basis signal processing techniques of linear algebra could be deployed. Quoting: Orthonormal Basis: A subset {v1, . . . , vk} of a vector space V, with the inner product {.}, is called orthonormal if <vi, vj>=0 when i≠j. That is, the vectors are mutually perpendicular. Moreover, they are all required to have length one: <vi, vj>=1.

There many such basis and there in signal processing has been extensively studied in the art. A subset of these are called wavelets as been described in article by G. BEYLKIN titled: “ON THE REPRESENTATION OF OPERATORS IN BASES OF COMPACTLY SUPPORTED WAVELETS” published SIAM J. NUMER. ANAL. c 1992 Society for Industrial and Applied Mathematics Vol. 6, No. 6, pp. 1716-1740, December 1992 011, incorporated herein by reference.

With Orthonormal set of vectors every ‘bit site’ could be represented by one of these vectors. So for n bits we would have n vectors. Writing a bit would be like adding a vector to the charge trap surface by scanning along the channel and modulating the amount stored according to the vector. Reading would be the inverse which could be the effect of multiplying the stored values by the reading vector. Accordingly if the vector was stored the value of the reading would be ‘1’ and if it was not than it would be ‘0’. The vector itself could be multiplied by a scalar which would represent multilevel per vector.

Additional information on wavelets and related decomposition and reconstruction algorithms may be found in “Fundamentals of Wavelets Theory, Algorithms, and Applications,” Goswami, J., C., et al., 2nd Ed., J Wiley & Sons, 2010, especially chapters 6 and 7, the entire book is incorporated herein by reference. Orthonormal wavelets such as, for example, of Shannon (sine radians sampling), Meyer (smoothing of Shannon), Battle-Lemarié, and Daubechies may be utilized depending on engineering choices and optimizations. Biorthogonal wavelets, for example, of Cohen, Daubechies, and Feaveau, may be useful depending on engineering choices and optimizations. Moreover, additional information on wavlets may be found in B. Vidakovic, et al., “Wavelets for Kids, A Tutorial Introduction,” 1994 Duke University, incorporated herein by reference.

FIG. 32A illustrates a block diagram of optional circuits to generate the signal for such a storage approach. A counter 3202 will start counting per each write pulse or rad pulse. The counting bits would be inputted into a look-up-table (LUT) 3204 along with additional vector selection bits—V bits from the charge address 3200. The look-up-table 3204 would carry the full set of vectors of which would be selected by the inputted V bits. The counter would facilitate the scanning process by going along the vector address. Similar circuits could be used for the generator of each of the control signal for the R-Gate and L-Gate. The output of the LUT 3204 could be inputted to a digital to analog converter 3206. This circuit could be used for the read scanning signal generator and the write scanning signal generators. The signals 3208 for the R-Gate and L-Gate could be the same for read or write. Scalar multiplication could be achieved by the C-Gate and S/D signal, yet it could be alternatively achieved by controlling the scan time so by doubling the time double amount of charge could be trapped. Time control is less common as it impact the memory access time.

FIG. 32B illustrates a block diagram of optional circuits to generate the signal for such a storage approach. A counter 3212 will start counting per each write pulse or read pulse. The counting bits would be inputted into a look-up-table (LUT) 3214 along with additional vector selection bits—V bits from the charge address 3210. The look-up-table 3214 would carry the full set of vectors of which would be selected by the inputted V bits. This is optional as the vector could be defined by the scanning process related to FIG. 32A, and accordingly the Vector LUT 3214 would become a fixed voltage or could incorporate the scalar multiplication currently illustrated by the reference voltage generator 3217. The counter 3212 would control the write and read operation during the scanning process. Similar circuits could be used for the generator of each of the control signal for the C-Gate and S/D lines. The output of the LUT 3214 could be inputted to a multiplying digital to analog converter 3216. The scalar could be represented the level from the Reference voltage generator 3217 according to the S bits from the charge address 3210 could be provided to the D to A 3216. This circuit could be used for the read signal generator and the write signal generators. The signals 3218 would support the write operation with a high positive voltage, the read operation with a mid-positive voltage and erase operation with high negative voltage.

An alternative peripheral circuits including block diagrams will now be presented for the 3D-NOR fabric such as is illustrated in FIG. 13 utilizing the 8 bit per facet as illustrated in FIG. 22. FIG. 33 illustrates an alternative addressing option 3300. The address could include a Ridge address with bits i+1 bits (Ridge0-i), layer address with j+1 bits (C0-j) which select the active bit-lines the S/D, Row address with k+1 bits (R0-k) which select the active channel column within the Ridge as would be controlled by the selected word-lines, Facet address with 2 bits (F0-1) to select one of the 4 facets and accordingly the role of the gates surrounding the selected channel column, Bit address with 2 bits (Bi0.2) selecting one of the 8 bits within the facet and accordingly the voltage applied to the selected gates, and Level address with 2 bits (L0-1) for an optional 4 levels of charge within the selected bit. The Ridge addressing is the higher level addressing and may be part of the S/D lines peripheral circuit. For the case that S/D lines are controlled by the structure illustrated in FIG. 8E the related peripheral circuits are selecting one SL line to be active while all other are disabled so that Ridge is active while all other Ridges are disabled. Accordingly the following discussion is for the active Ridge. For simplicity we assume a 3D-NOR structure design so that each of this decoding option represent a physical structure that is designed to be an integer by power of 2 (1, 2, 4, 8, 16, . . . ). For cases that this is not the case, some changes could be desired such as was discussed herein before.

FIG. 34A illustrates the first part of the word-line/gates related peripherals circuits. Voltage Source Circuits 3404 are the voltage generation circuits, which may be centralized circuits including charge pumps and other power supply type circuits generating the various voltages required for the 3D-NOR memory operations including voltages indicated in the table of FIG. 23 and other tables and discussions herein. Gate Signal Forming Unit 3402 circuits may be signal forming and selectors to generate the four gates signal outputs: Gr for the R-Gate of FIG. 23, Gt for the C-Gate of FIG. 23, GI for the L-Gate of FIG. 23, and Gb which indicate the bottom gate or the inverse side of the channel in respect to the primary gate, also called the control gate or C-Gate. Gb for many memory operation could be left floating. These signals are the functional signals as indicated in FIG. 22. These signals would be connected to the selected gate of the selected channel and would be applied based on the selected facet. The formation of these signals would be according to the memory operation if write is indicted by W signal or rad indicated by R signal and also according to the selected bit as indicted by address signals Bi0-2, FIG. 22 and the table of FIG. 23 could be used to guide the detail functions of these circuits.

The L0-1 address would indicate the level of charge stored or read from the selected bit Changing stored levels could be achieved by additional write voltage levels such as, for example, 10 volts, 11 volts, 12 volts, etc. (adjusted to the device technology employed) or by modulating the writing/reading time or combination of these. The Gate Signal Forming Unit 3402 could include the corresponding circuits to implement the bit levels.

FIG. 34B illustrates the Gate Signal Switch 3406 circuits. Its inputs may be the four gate signals (Gr, Gt, GI, Gb) and the Address bits selecting the facet—F0-1. It could include decoder circuit 3408 to generate four enabling signal (e0, e1, e2, e3) of which one is active to enable selecting one of the four signals allocation 3412, 3414, 3416, 3418 to output the 4 gate signal in the proper allocation based on the selected facet to the 4 gate signal outputs: GSr, GU, GSl, Gd.

FIG. 34C illustrates the circuits to drive these centralized signals GSr, GU, GSl, Gd, to selected word-lines while all other word-line are disabled. FIG. 13 illustrates a 3D-NOR structure with active four facet, with compass 1300 those facet could be called North facet, East facet, South facet and West fact. Each channel column 1302 may be controlled by four gates, one on ‘North’ facet WL2, one on the South facet WL3, one on the East facet WL4 and one on the West facet WL1. It should be noted that the East/West gate is a dual function, the East gate of Channel Column 1302, is also the West gate of channel column 1304. Accordingly selection of channel column 1302 which could be indicated by integer symbol ‘n’ based on the address bits R0-k would select one ‘n’ North gate, one ‘n’ South gate, one West gate while the ‘n’ East gate is also the ‘n+1’ West gate of the ‘n+1’ channel 1304, as is illustrated in FIG. 13.

The four centralized signals (GSr, GU, GSI, Gd) are forming a bus like signals for the word-lines available to be selected for the selected channel column gates. Unit 3450 could include the buffers and drive electronics. These are designed according to system considerations such as access time, power and so forth. The Row Address lines R0-k and their complementary signals could be delivered as another bus-like signals. For each channel a large fan-in NAND gate could be used with decoding like connection to the Row address so NAND 3430 is activated to “0” only once the Row address is addressing channel ‘n’ (respectively NAND 3429 is activated to “0” only once the Row address is addressing channel ‘n−1’). For each channel there is also a dedicated selector block—for ‘n−1’ selector block 3439, for ‘n’ selector block 3440, and for ‘n+1’ selector block 3441. Each selector block has three selectors, two are one-of-two selectors M2, and one is one-of-three selectors M3. These selectors could use a full transmission gate or other switching type circuits.

For the case when channel ‘n’ is addressed, NAND 3430 is activated and accordingly the selector M3 of 3440 would select GSl signal to drive gate line related to West gate such as WL1-Wn, the first M2 selector of 3440 would select Gu signal to drive gate line related to the North gate such as WL2-Nn, the second M2 selector of 3440 would select Gd signal to drive gate line related to South gate such as WL3-Sn, and selector M3 of 3441 would select GSr signal to drive gate line related to the West gate of the n+1 column channel which could be the East gate of the n channel column WL4-Wn+1. All non-activated selectors (M2, M3) will output “0”, or may be left floating in some configuration, which will prevent their respective channel to be affected or affect the memory operations. Accordingly providing the proper signal to perform the desired operation to the addressed bit within the addressed facet on the addressed channel.

In a similar architecture the peripherals circuit for driving the bit-lines—the S/D lines could be made. For simplicity the following peripherals circuits are to support the bit-lines—BL1, BL2, BL3, . . . — for the structure illustrated in FIG. 8E, these circuits could be modified to support the alternative structure which is illustrated in FIG. 13. The decoding for the select-lines—SL, SL2, SL3, . . . could be done with wide fan-in NAND receiving the address lines Ridge0-i and their complementary signal lines to decode the active Ridge and enable the bit-lines signals of the selected Ridge activate that Ridge S/D lines.

FIG. 35A illustrates the first part of the bit-lines S/D lines related peripherals circuits. Voltage Source Circuits 3504 circuits may be the voltage generation circuits, those are centralized circuits including charge pumps and other power supply type circuits generating the various voltages require for the 3D-NOR memory operations including voltages indicated in the table of FIG. 23 and other tables and discussions herein. For reading bits a pulse to the S/D lines could be used and accordingly the R signal indicating a read function is an input for Voltage Source Circuits 3504. Gate Signal Forming Unit 3502 circuits may be signal forming and selectors to generate the two acting bit-line signals outputs: SDn for the S/Dbottom of FIG. 23, and SDn+1 for S/Dtop of FIG. 23. These signals would be connected to the selected S/D lines of the selected Ridge and accordingly the selected channel. The formation of these signals would be according to the memory operation with write indicted by W signal, R indicated by R signal or Erase indicated by E signal. The lower Bit address Bio would affect the role of Source and Drain according to the bits location on the respective facet as indicated in FIG. 22. FIG. 22 and the table of FIG. 23 could be used to guide the details of these circuits.

The L0-1 address would indicate the level of charge stored or read from the selected bit, this optional input for the case S/D lines may be used for the level modulation.

FIG. 35A also illustrates the swapping between the S/D lines for the role of Source or Drain. While physically these lines are fixed the swapping is done electronically by enabling either buffers 3512 or buffers two 3513. NBi0 is the inversion of signal Bi0.

FIG. 35B illustrates the circuits which may be used to drive these centralized signals SDn and SDn+1 to selected bit-lines while all other bit-lines are disabled. FIG. 13A illustrates the naming and structure of the S/D lines. Each channel column 1302 is also controlled by the two S/D lines one below it and one on top of it: The below S/Dn line BL1, and the top S/Dn+1 BL2. It should be noted that other than the bottom most and top most each of the S/D line such as BL2, BL3, BL4 . . . affects two channels: one below it and one on top of it. Accordingly selection of layer ‘n’ base on the address bits C0-j would select two S/D lines which could be marked by S/Dn and S/Dn+1, as is illustrated in FIG. 35B.

The two centralized signals (SDn, SDn+1) are forming bus-like signals for the bit-lines available to be selected for the selected column. Unit 3550 could include the buffers and drive electronics. These are designed according to system consideration such as access time, power and so forth. The layer Address lines C0-j and their complementary signals could be delivered as another bus like signals. For each layer a large fan-in NAND gate could be used with decoding such as connection to the layer address so NAND 3530 is activated to “0” only once the layer address is addressing layer ‘n’ (respectively NAND 3529 is activated to “0” only once the layer address is addressing layer ‘n−1’). For each layer there is also a dedicated selector block—for ‘n−1’ selector block 3539, for ‘n’ selector block 3540, and for ‘n+1’ selector block 3541. Each selector block has one-of-three selector M3. These selectors could use a full transmission gate or other switching type circuits.

For the case when column ‘n’ is addressed NAND 3530 may be activated and accordingly the selector M3 of 3540 would select SDn signal to drive bit-line to S/Dn at 3520 related such as BL1, and selector M3 of 3541 would select SDn+1 signal to drive bit line related to S/Dn+1 such as BL2. All non-activated selectors (M3) will output “0”, or may be left floating in some configuration, which will prevent their respected channel to be affected or affect the memory operations. Accordingly providing the proper signal to perform the desired operation to the addressed bit within the addressed facet on the addressed channel.

In some configurations the M3 selector could be constructed to select between two active signals or leave the output floating which will render that line in-active.

The units Voltage Source Circuits 3404 and/or 3504 could be designed to provide the proper signals as was described herein for the word-line, bit-line operations of the 3D-NOR memory including such that were described in respect to FIG. 27 to FIG. 32B. Those signals could be routed to the acting S/D line and acting gate-lines using an architecture as presented in respect to FIG. 34A to FIG. 35B.

The O/N/O stacks within the 3D NOR fabric could be designed independently; for example, the facet(s) related to/under the first gates and the facet(s) related to/under the second gates could be different in many ways. It could include the same materials with different thickness or different materials. Some of such O/N/O stack materials have been presented in paper by Chun Zhao titled “Review on Non-Volatile Memory with High-k Dielectrics: Flash for Generation Beyond 32 nm” published at Materials 2014, 7, 5117-5145; doi:10.3390/ma7075117, incorporated herein by reference. The O/N/O stack could include band gap engineering for better performance. Such band gape engineering has been described in papers such as by Dong Hua Li et al. titled “Effects of Equivalent Oxide Thickness on Bandgap-Engineered SONOS Flash Memory” published at the 2009 IEEE Nanotechnology Materials and Devices Conference Jun. 2-5, 2009, and by Hang-Ting Lue et al. titled “BE-SONOS: A Bandgap Engineered SONOS with Excellent Performance and Reliability” published at IEDM 2005. And in patents such as U.S. Pat. Nos. 7,414,889, 7,512,016 and 7,839,696 all of the forgoing are incorporated herein by reference.

In the 3D NOR architecture such as is illustrated in at least FIG. 10 to FIG. 13E herein, the active O/N/O-2 stack is formed in-between the S/D lines. The flow as described will likely deposit the O/N/O material on substantially all exposed surfaces and not just on the desired facet. This implies that the O/N/O stack is deposited on the upper surface of the S/D segment and on the lower S/D segment and accordingly reduces the area for the second gates by two times the O/N/O-2 thickness in each direction. In some application it could be advantageous to make the O/N/O-2 stack extra thin. Such could result in shorter retention time but also with shorter write and erase times. Such ultra-thin O/N/O is sometimes considered a DRAM (Dynamic Random Access Memory) alternative. Accordingly such 3D NOR memory could integrate multiple memories types in one device such as conventional NV (Non-Volatile) memory in the facets controlled by first gates and faster memories with shorter retention time in the facets controlled by second gates. Such memories are presented in papers such as by H. Clement Wann and Chenming Hu titled “High-Endurance Ultra-Thin Tunnel Oxide in MONOS Device Structure for Dynamic Memory Application” published at IEEE ELECTRON DEVICE LETTERS, VOL. 16, NO. 11, NOVEMBER 1995; by Dong-II Moon et al. titled “A Novel FinFET with High-Speed and Prolonged Retention for Dynamic Memory” published at IEEE ELECTRON DEVICE LETTERS, VOL. 35, No. 12, December 2014; and in U.S. Pat. Nos. 5,608,250, 8,329,535 and 9,025,386. Additional optional enhancement is to combine two level of memory forming structure in the gate stack such as presented by Daniel Schinke et al titled “Computing with Novel Floating-Gate Devices” published at IEEE Computer magazine FEBRUARY 2011; and also described by Daniel Johannes Schinke A dissertation submitted to the Graduate Faculty of North Carolina State University 2011, titled “Computing with Novel Floating Gate Devices”; by Biplab Sarkar titled “Dual Floating Gate Unified Memory MOSFET With Simultaneous Dynamic and Non-Volatile Operation” published at IEEE ELECTRON DEVICE LETTERS, VOL. 35, NO. 1, JANUARY 2014; and by Yu-Chien Chiu, titled “Low Power 1T DRAM/NVM Versatile Memory Featuring Steep Sub-60-mV/decade Operation, Fast 20-ns Speed, and Robust 85° C.-Extrapolated 1016 Endurance” published at IEEE 2015 Symposium on VLSI Technology, all of the foregoing in this paragraph are incorporated herein by reference.

Radical oxidation could be used for the formation of a high quality oxide such as for the formation of the tunneling oxide.

For example, by a TEL SPA (slot plane antenna) tool/machine, wherein oxygen radicals are generated and utilized to form thin thermal oxides (generally of single crystal silicon) at less than 400 deg C.

Additional alternative is to integrate logic and programmable logic into the 3D-NOR fabric. FIG. 12A illustrates a 3D-NOR structure in which every channel column 1200 may be surrounded by a charge trap—O/N/O layer and control gates. First O/N/O-1 stack 1201 is controlled by first control gate 1211 (which is connected to word-lines WL2, WL3, WL5, WL6, . . . of FIG. 13). Second O/N/O-2 stack 1203 is controlled by second control gate 1202 (which is connected to word-lines WL4, WL7 . . . of FIG. 13). For this logic integration alternative it could simplify the process if O/N/O-2 is constructed/formed so it will allow selectively etching O/N/O-1 without etching or degrading O/N/O-2. Specifically the charge-transfer-oxide layer of O/N/O-2 could be made with an oxide layer that has good etch selectivity to the O/N/O-1 layers.

FIG. 36A illustrates an X-section view cut along the bit line direction across the channel facet interface with the Ridge after flipping the 3D-NOR structure of FIG. 12A, by layer transfer technique onto a carrier wafer. The first S/D layer 3602 which is now on the top could be made extra thick for this alternative application. The channel 3603 and the S/D layers 3604 are made with normal thickness. The second gate 3606 is covered with the second O/N/O-2 3612 (not shown) and the first O/N/O-1 3611 is illustrated at the top surface.

FIG. 36B illustrates a top view of the structure before etching the top layer of the first O/N/O-1 3611, preferably with a selective etcher so to not degrade the second O/N/O-2 3609. Then performing an etch step for the removal of the first O/N/O-1 3621. The top view also marks the cut-view locations which would be used in following illustrations.

FIG. 36C illustrates the structure from a cut view along line 3612 which is a cut view along the bit-line across the column channel facet interface with the first O/N/O-1 facing the Ridge walls.

FIG. 36D illustrates the structure from a cut view along line 3613 which is a cut view along the bit-line across the gate area in between Ridges.

FIG. 36E illustrates the structure from a cut view along line 3614 which is a cut view along the word-line across the first gate area in between the channel column.

FIG. 36F illustrates the structure from a cut view along line 3613 which is a cut view along the bit-line across the gates area in between Ridges, after etching the top portion of the exposed first gate material 3630.

FIG. 36G illustrates the structure from a cut view along line 3614 which is a cut view along the word-line across the first gate area in between the channel column, after etching the top portion of the exposed first gate material 3630.

FIG. 36H illustrates the structure from a cut view along line 3614 after oxidation of the exposed top surface of the first gates 3632 or growing isolation on it.

FIG. 36I illustrates the structure from a cut view along line 3614 after etching the exposed side wall O/N/O-1 3634.

FIG. 36J illustrates the structure from a cut view along line 3614 after filling the holes with P doped silicon 3636.

FIG. 36K illustrates the structure from a cut view along line 3614 after re-crystallization of the P silicon with laser or alternative technique forming crystallized silicon 3638.

FIG. 36L illustrates the structure from top view showing the new formed NPN 3640 device with side O/N/O-2 3642 controlled by the second gates 3642. By adding on top of it gate oxide and logic gate a programmable horizontal transistors (“PHT”) 3640 could be formed which could be used to form the programmable logic terrain on that top side.

Alternative to construct a PHT on the bottom of the 3D NOR fabric could utilize lithography instead of etch selectivity between O/N/O-1 and the charge transfer oxide of O/N/O-2. One such alternative is illustrated in respect to FIG. 37A to FIG. 37E.

FIG. 37A illustrates the structure of FIG. 36C in which a hard-mask may be formed as patterned hard mask 5241 leaving the top of the Channel column and the O/N/O-1 top layer uncovered.

FIG. 37B illustrates the structure after performing of an epitaxial growth of N+ silicon 3722 over the exposed top portion of the top S/D. It should be noted that in this alternative that S/D layer could have a conventional thickness similar to the other S/D layers.

FIG. 37C illustrates the structure after P type silicon is deposited to form the horizontal channel 3723 in between the epi-grown N+ silicon. The channel formation could include a-Si or polysilicon deposition, CMP and then laser crystallization, thus resulting with PHT 3740.

These PHTs could be programmed by the first gates using the top part of O/NIO-1, or by forming additional O/N/O-3 and new horizontal gate in replacement of the hard mask 3721.

The horizontal transistor source and drain are part of a vertical transistors of adjacent Ridges which are part of the 3D-NOR structure. Using these two Ridges first bit-lines (BL1) and the appropriate second gates (WLn, WLn+3) these new horizontal transistors could be programmed to three operating modes: Always off, top gate controlled (un-programmed), or always on. FIG. 38A illustrates these three operating states for which the PHT could be programmed to.

This form of customizing the HD-NOR fabric could allow support for programmable logic as presented in the following.

FIG. 38B is an illustration of an exemplary single cell of such memory substrate with S/D lines of N+ type silicon 3804, 3806 and P− type silicon 3802 in between. The charge trap regions and the gates are not shown as they are or on the sides of P− type silicon 3802. FIG. 38C illustrates 4 such cells arranged as a 2×2 array and FIG. 38D illustrates a larger array of 5×16 cells.

FIG. 39A illustrates an indication of forming a cut in the S/D line 3902.

FIG. 39B illustrates connecting the S/D line to a ground 3904. This could be a programmable ground which would be activated at normal operation and deactivated at programming time.

FIG. 39C illustrates a channel being programmed 3906 to either an always on “0” or to an always off “1”. This could replace the SRAM cell in a Look-Up-Table.

FIG. 39D illustrates programming the channel to always on 3908.

FIG. 39E illustrates programming the channel to always off 3910.

FIG. 39F illustrates the channel functioning as an active transistor with conductor 3914 going across it connected to the transistor gate as indicted by 3912.

FIG. 40A is from one of Xilinx early patents U.S. Pat. No. 4,706,216 incorporated herein by reference. FIG. 40A illustrates the classic 4 bit Look-Up-Table (LUT4) which uses 16 SRAM bit-cells and a decoder constructed by N type transistors. FIG. 40B illustrates such a LUT4 with the additional input drivers to buffer the 4 inputs and for their inversion for controlling the decoder, and the output signal reconstruction circuits to reconstruct the decoder output to a full “0”/“1” signal. Some of the extra circuits would be constructed on the upper custom silicon layer while the main LUT4 of FIG. 40A could be implemented in the NOR substrate as is illustrated in FIG. 41 with symbol map on its left.

Use of the NOR structure as illustrated in FIG. 41 could be designed in such a way in which the LUT4 decoders of multiple LUT4s are aligned to share the same S/D lines.

The substrate of N channel transistors tightly packed in a 2D array in which every transistor could be configured as an active transistor or a connected path or a disconnected path provides a useful configurable terrain which could be used to form high density NV memory, high speed DRAM and or highly configurable logic terrain. Such a substrate overlaid by custom fabric could be used to form many attractive systems. For example, a NOR substrate of N channel transistors could be configured as domino logic that is known to be a very high speed design technique utilizing primarily N channel transistors. Such as in a paper by Allam, M. W et al titled “High-speed dynamic logic styles for scaled-down CMOS and MTCMOS technologies”, published at Low Power Electronics and Design, 2000. ISLPED '00, incorporated herein by reference. An improvement is presented allowing higher speed and lower power domino logic. FIG. 42 is a copy of FIG. 3 there illustrating the “Domino part” 4200 which is build by just N channel transistors and the signal reconstruction portion 4202 which include clock circuits CLK a pre-charge circuits Q1 and keeper circuits Q2, I1, I2, I3, N1, P1, which could be build on the overlaying custom fabric 1790 herein. Another alternative was presented in a recent paper by Tonmoy Roy et al, titled “Novel FinFET Domino Logic Circuit Using Dual Keepers” published at: ICEEICT, 2014, incorporated herein by reference. Many other variations are known in the art with various specific names which we could refer to them all as domino logic.

Specific types of configurable logic could be formed in such 3D-NOR substrates. Within the field of programmable logic the most used fabric for which there currently is a wide range of design tools are the LUT based fabrics used for the most advanced FPGA and the PLA/PLD based fabrics used for some lower complexity smaller devices.

FIG. 43A illustrates a simple prior art Programmable logic array PLA structure. These approaches were further developed with industry naming them Programmable array logic PAL, PLD and Complex programmable logic device CPLD.

FIG. 43B illustrates the first step of utilizing a NOR substrate to implement such a PLA. The left side 4312 illustrates the multiple NAND gates implementation. Each channel could be programmed to either left as active transistor or programmed to be always on marked by 4302 symbol. The left side 4312 illustrates 9 horizontal strings of NAND gate 4322 each with 6 inputs. Channel programmed isolation 4320 is isolating the NAND portion from the wired-or portion 4314. In these drawing the symbols defined herein before in FIG. 39A-F and FIG. 41 are used whenever possible.

In the wired-or portion 4314 there are isolated central bars 4342 for which there are programmable connections 4324 to each side to the wired-or bar. The two groups are isolated with isolations 4321.

FIG. 43C is illustrating adding the top connection over the structure of FIG. 43B. The vertical connection bars 4332 are the input signals A, AN, B, BN, C, CN connected to all Programmable NAND strings. Then horizontal wires 4336 illustrated by symbol 4304 with connection to down 4306. The horizontal connections 4336 are connecting the “NAND” outputs to the two bar 4342. The outputs of the programmable wired-or are Q1, Q2, Q3 and Q4.X.

Another alternative is to use the HD-NOR substrate for some of the required memory peripherals circuits. The left side 4312 of 43B illustrates construction of a wide AND circuit that is common for select lines decoder. The AND of FIG. 43B and Fig. C is oriented for the Gate-Lines/Word-Lines.

FIG. 43D illustrates forming a high fan in AND oriented for the S/D lines-Bit-Lines. FIG. 43D use symbols used before herein. All of the non-marked channels could be programmed to either ‘left as active transistor’ or made to be ‘always on’ and accordingly form the proper address decoding function. The output of the AND circuits are marked as BL1 to BL5. FIG. 43E illustrates the overlay of the horizontal connection lines bringing in the addresses marked as Address 0-A0 and its inverted signal AON to address 8-A8 and its inverted signal A8N.

In some applications, such as advanced process nodes, the N type LUT circuit illustrated in FIG. 40A and FIG. 40B and the use of the pull-up-inverter signal reconstruction circuit 4001 is less than optimal. Also the domino logic clock base reconstruction circuit 4202 could be limiting. An alternative approach could be used as is illustrated FIG. 44A. It uses a half P MOS latch circuit 4414. The half P MOS latch 4414 would get as inputs, the output L-Out 4422 of the first LUT 4402, which could be a connection path to ground—zero signal or floating line—high resistivity, and the output L-OutN 4424 of the first LUT-N 4404, which, too, could be a connection path to ground—zero signal or floating line—high resistivity. The inputs to both LUT 4402 and LUT-N 4404 are the same input signals (A, B, C, D) and their inversion (AN, BN, CN, DN) 4412. The two LUT are programmed to be complementing each other so if L-Out 4422 is low (‘0’) then L-OutN is high-impedance and the inverse when L-Out 4422 is high-impedance then L-OutN is low (‘0’). Accordingly the half P MOS latch circuit 4414 converts these complementing signal to normal logic signal Output 4426 which would be low (‘0’ also called Vss) when L-Out 4422 is low and would be high (Vdd) when L-OutN is low (‘0’).

The broken line 4410 indicates the transitions of signals from the customizing the HD-NOR fabric to an overlaying upper layer of CMOS fabric which could carrying the CMOS circuits 4412 and 4414.

The structure of FIG. 44A illustrates forming a true 0/1 output using LUTs of programmable N type transistor fabric. This structure indicates doubling the resources as it uses two LUTs which complement each other. But if the circuit layout would leverage the triangular shape of these LUT circuits then the area penalty could be reduced as has been illustrated by FIG. 44B, in which the LUT 4408-N is flipped horizontally in respect to LUT 4406 to provide a more efficient circuit density and layout packing.

The use of two complementing N type circuits as described in FIGS. 44A-44B is known in the art as differential cascade voltage switch logic circuit (“DCVS”). It could also be used in combination with clocked circuits to further reduce power. D. Somasekhar et al. in a paper titled “Differential Current Switch Logic: A Low Power DCVS Logic Family” published in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 31, NO. 7, JULY 1996; W. Chen paper titled “Mixed Multi-Threshold Differential Cascode Voltage Switch (MT-DCVS) Circuit Styles and Strategies for Low Power VLSI Design”, published at ISLPED'01; and Dae Woon Kang et al in a paper titled “Design of Enhanced Differential Cascade Voltage Switch Logic (EDCVSL) Circuits for High Fan-In Gate” published at ASIC/SOC Conference, 2002, 15th Annual IEEE International, the entire contents of each are incorporated herein by reference. These references present additional variations and alternatives for improving power and or performance. Ho Joon Lee, in a paper titled “Low Power Null Convention Logic Circuit Design Based on DCVSL” publish at MWSCAS2013, incorporated herein by reference, suggests using such logic for asynchronous circuits. Such techniques and design approaches could be implemented in a 3D NOR fabric.

An alternative to building a programmable logic fabric on the 3D NOR backside is to build programmable logic fabric within the 3D NOR fabric. For this alternative some of the ridges or portion of them could be targeted for logic integration by using narrow enough S/D lines so in that a portion the S/D region surrounded by second gates are effectively junction-less transistors gated by their respective second gates.

FIG. 45 illustrates 2 programmable NANDs 4511, 4512 of two inputs and their complements (A, AN, B, BN) with output 4502. This programmable structure could be programmed to form any two input logic functions and accordingly function as a LUT-2. The table in FIG. 46 presents the programming of these two NAND rows to support the LUT-2 functionality. The left side of the table shows the output function according to the two inputs ‘a’ and ‘b’ which could be the function inputs (A, B). The table shows ‘1’ for high impedance output 4502, as the high impedance output could be reconstruct to a high logic level—‘1’ by a following circuit as was discussed herein. The right side represents the programming of the respective transistors of the First NAND row 4511 under the respective gate line and respective transistors of the Second NAND row 4512 under the respective gate line. The table uses the following symbols:

The first gates of the 3D NOR fabric could be used to program each of the channels in the NPN vertical channel column, while the second gates could be used to program the horizontal S/D junctionless transistor (“JLT”) channels, as is illustrated in FIG. 47B.

These LUT-4s could be arranged along a ridge while their surrounding ridges may function as memories. Since the LUT-4 would need circuits for supporting functions such as half latch 4414, CMOS circuits 4412, signal reconstruction circuit 4202, restore buffer 4002, it could be desired to have more than 5 rows of memory ridge for each logic ridge.

The first gates and the second gates associated with logic function could be disconnected using litho and prices from the gates of the memory ridges, and by use of multiplexers could be made to have dual function. During the programming mode it may be connected to the memory gates and in logic mode be connected to the logic signals.

While junctionless transistors could need a very thin channel of less than 20 nm to have a low leakage comparable with comparable NPN transistor the use of them for programmable logic such as LUT-4 and especially when using two complementing LUT-4s with half latch 4414 reconstruction, could be effective even for larger channel widths, due to the differential function of the circuit and the use of junction less transistors in an N only serially connected structure as illustrated in FIG. 47. This may provide increasing yield in the face of process variation.

FIG. 48A illustrates use of a ridge to construct a programmable function complementing the ‘AND of NANDs’ of FIG. 45. It is an ‘OR of ANDs’. FIG. 48A illustrates 2 programmable ANDs 4811, 4812 of two inputs and their complements (A, AN, B, BN) with output 4802. By being a full inversion of the structure of FIG. 45 this structure is also a programmable LUT-2. The right side presents the symbol map.

The table of FIG. 48B presents an optional programming of first AND 4811 and the second AND 4812 to construct a LUT-2.

The left side of the table indicates the programming of the respective channels under each of the respective gate inputs (A, A, AN, B, BN) while the right side presents the resultant output 4802 for each programming choice according to the input signals—A (a), B (b).

FIG. 49A illustrates use of a ridge to construct a programmable function LUT-4 using 8 rows of ‘OR of ANDs’. In the structure the active logic gates could be first gates (those controlling the channel column) as is illustrated in FIG. 49B. There many programming options to construct such LUT-4. One programming option is to leverage the table of FIG. 48B to have 4 LUT-2 option and program the channel under the other inputs (C, CN, D, DN) so the LUT-2 that is being affected is controlled by the other inputs. So, for example, a LUT-2 being programmed in the upper most two ANDs 4911, 4912 is going to control “0” going to the third NAND 4913 when the other inputs are not asserted C=0, D=0 and bypass otherwise, and so forth as is illustrated in FIG. 49C. Using the AND structure such as FIG. 49B for a LUT-4 provides the option to form junctionless transistors only at the edge of the LUT-4 while keeping the other portion of the S/D line as regular lines (with S/D & channel widths and thicknesses greater than about 20 nm).

FIG. 49D illustrates an alternative for the LUT-4 of FIG. 49B when the lower S/D line 4940 is grounded and accordingly the output is at the upper S/D line 4942.

FIG. 49E illustrates an alternative for the LUT-4 of FIG. 49D in which the LUT-4 utilizes only four layers with JLTs. It uses two structures such as portion 4954 part of the LUT-4 of FIG. 49D, first portion 4964 and second portion 4966. Both portions need to be connecting to ‘zero’ first signal 4965 and second signal 4967 to form the full LUT-4. An additional P type transistor 4970 with pull up (very high value resistor or circuit element) 4976 could be added to the half latch reconstruction circuit 4974 to implement the OR function in the control logic portion. A complementing LUT-4 could be connected to the other side of the half-latch 4972 to complete the function.

The ‘OR of ANDs’ implementation make a far less use of the junctionless transistor aspect of the S/D lines. It could be implemented even without use of this junctionless transistor by segmenting the ridges to groups of 8 channel columns with the area density penalty associated with such segmentation especially due to the potential stair-case access per layer structure.

Having the both programmable ‘AND of NANDs’ and its complementing ‘OR of ANDs’ allows structuring ridges as a PLA with a half latch reconstruction option providing a wider range of programmable fabric options.

The fabric could be even programmed to allocate regions to LUT type or PLA type according to the need of specific products or type of product. FIG. 50A to FIG. 51B are to illustrate this flexibility

FIG. 50A illustrates two LUT-4 place back to back on the same ridge. The programmed statuses of the left and right half plane of LUT-4s are complementary. The left and right half plane of LUT-4 correspond to LUT 4406 and LUT-N 4408 of FIG. 44B, respectively and each of the outputs are connected to the input of half latch 4414 of FIG. 44B.

FIG. 50B illustrates the structure of FIG. 50A configured instead of two LUT-4s to one PLA with AND of 8 NANDs (of 8 inputs: A-H and their complements). The shared ‘ground’ channel column 5002 is programmed as disconnects vertically and accordingly connecting the two NANDs of each row to one long NAND, and the left most output 5003 is replaced with ‘ground’ input 5004.

In similar way the complementing function could be configured from two OR-AND LUT-4. FIG. 51A illustrates two LUT-4s of OR_AND type place back to back on the same ridge.

FIG. 51B illustrates the structure of FIG. 51A configured instead of two LUT-4s to one PLA with OR of 8 ANDs (of 8 inputs: A-H and their complements). The disconnects between these LUTs are removed 5104 and they become 8 long AND of 8 inputs: A-H and their complements.

Using the structure of FIG. 51B to complement the structure of FIG. 50B and a half latch 4414 could provide logic signals of 8 NAND terms of 8 inputs.

An additional flexibility of the 3D NOR fabric is the ability to allocate more rows for the programmable logic cell, if those are available in the fabric. So if the 8 input function requires more than 8 terms then by programming more rows it could be assigned in. A full LUT-8 would require 128 rows.

Another use of the 3D-NOR fabric could be to route a signal through. FIG. 52 illustrates routing the left output O1 over to the right to O12 using the 9th row 5202.

It might be preferred to route both the output and its corresponding complementary output to allow better signal recovery as the routing signal within the 3D NOR ridge fabric is associated with many ‘on’ transistors on the routing path and many ‘off’ transistor with their leakage hanging on the path. By using differential signal reconstruction, such as the half-latch 4414, the routed signal could be properly reconstructed.

FIG. 53A illustrates a re-buffered cell that could be used as part of supporting circuits for routing signals using the 3D-NOR fabric. The two complementing routed signal R-out 5322 and RN-out 5324 re-crossing from the 3D NOR fabric to the support circuit above illustrated by crossing 5326. The half latch signal reconstruction circuit 5314 using relatively small P transistors first p 5310 and second p 5312 could then drive relatively large N transistors first n 5311 and second n 5313 forming the ‘re-buffered’ signals R-in 5332 and RN-in 5334 back into the 3D NOR fabric. The relatively large N transistors first n 5311 and second n 5313 could be 1.5, 2.0, 2.5, 3, 5, 10 times wider than relatively small P transistors first p 5310 and second p 5312. Accordingly the two complementing signals of one is path to ground ‘0’ and one is floating ‘HR’ would be re-strengthened back to the 3D fabric—one is path to ground ‘0’ with far lower resistivity—‘on’ of either 5310/5311 or 5312/5313 and the other is high resistivity ‘HR’.

FIG. 53B illustrates an alternative re-buffering utilizing full inverters first inverter 5300 and second inverter 5302 feeding back to the 3D NOR fabric full complementing signals R-in 5342 and RN-in 5344.

FIG. 54 illustrates an alternative circuit for the complementing signal reconstruction utilizing a differential amplifier circuit instead of a half-latch. Such a differential amplifier could provide faster signal reconstruction due to the high gain of such circuit. A differential amplifier could consume higher power as it operates in the active mode of the transistor while a half latch only uses active mode in transition phase. An alternative approach to reduce this operating mode power is to activate the circuit by activating its main current source 5420 only when the signal needs to be detected using a clock signal. Optional tiny current sources first source 5422 and second source 5424 could be used to lightly pull up the input signal VI1, VI2 for the resistivity input signals. Such a differential amplifier could be used for signal reconstruction for logic output and routing output throughout this application and inventions herein and could help reduce the overall logic signal swing (Vdd-Vss) to reduce power and thermal loads or improve operating speed. An artisan in the art would know how to implement differential amplifier herein throughout as an alternative to a half latch reconstruction circuit.

An alternative structure of the 3D NOR fabric could leave some bridges between the ridges to support full three dimensional routing within the 3D NOR fabric. We can call this variation of the fabric 3D NOR-B. It starts with modifying the ridge 5504 forming a pattern by leaving periodic bridges 5506, of N+ silicon for example, as is illustrated by top view FIG. 55A.

FIG. 55B illustrates an exemplary structure in 3D perspective showing JLT bridges 5546 controlled by their gates 5536.

The followings steps would be similar to those presented in respect to FIG. 8A to FIG. 8F and then FIG. 10 to FIG. 13B. Accordingly the channel column 5508 (marked by “C” on FIG. 55A) 5528 would be covered and controlled by first O/N/O and first gates (WL2, WL3, WL5, WL6, WL8, WL9, . . . ) while the in-between 5510, 5520, 5526, including the bridges (marked by “B” on FIG. 55A) would be covered and controlled by second O/N/O and second gates (WL1, WL4, WL7, . . . ). Or in an additional connectivity application the S/D regions between the bridges 5506 and the channel column 5516 could be designed to not be junctionless transistors either by leaving thicker than 20 nm gox, having them extra doped, removing enough of the second gate material over them or other methods.

The bridges would then be a programmable connection between adjacent ridge S/D lines. And accordingly allow routing signal between ridges.

An alternative for the use of the 3D NOR is to use 3D NAND fabric such as the one illustrated in FIG. 7G or as known in the art as 3D-NAND or V-NAND, in order to form a programmable 3D NAND fabric. A LUT circuit like the one illustrated in FIG. 47 rotated by 90 degrees could be implemented using word lines for the inputs (A, AN, B, BN, C, CN, D, DN) and the bit line as outputs. In such use the gates need to be connected for dual function—programming and LUT use, In the LUT use the gates arm kept independent for each ridge so each ridge could form its own LUT logic. And similarly are the outputs of each ridge which for memory use can connected together with the bit limes. An additional challenge is the need to add stair cases for each independent LUT, making the 3D-NAND less convenient for programmable logic.

Let's review the system process flow. It starts as was discussed in respect to FIG. 1A-1B. FIG. 56A illustrates such starting step having a multilayer (such as N+, P, N+, P, . . . ) structure 5620 over a cut layers 5613 over a carrier 5610.

FIG. 56B illustrates the processing of the multilayer structure 5620 to 3D NOR structure 5630 and adding on the word-lines 5632.

FIG. 56C illustrates flipping the structure on top of a new carrier 5640.

FIG. 56D illustrates processing the back of the 3D-NOR fabric to add the connection of logic gates (LUT, . . . ) 5634. This could include adding also all transistors circuits needed for the logic gates and for the peripherals circuits. Yet, an alternative is to do those additional circuits on another side wafer 5654 illustrated in FIG. 56E on cut layers 5643 over substrate 5650. This side wafer cut than flipped and precisely bonded to the ‘already flipped’ 3D NOR fabric as is illustrated in FIG. 56F.

The substrate 5650 could then be removed as illustrated in FIG. 56G. Then the circuits on 5654 could be connected to the connection of logic gates (LUT, . . . ) 5634 using the smart alignment technique—“smart alignment”—such as presented in U.S. Pat. No. 7,986,042, incorporated herein by reference as relate to FIGS. 73,74,75,77,79.

This side wafer approach allow the decoupling of the 3D NOR fabrication process from the fabrication of the support circuits. It could allow using a relatively less dense process for generic 3D NOR and an advanced high density process for the support circuits. For example, if the rule used for 3D NOR uses a minimum size of F1 and accordingly the contact area for complementing LUT 4˜80-100 F12. The basic circuits to support such LUT 4 structure are five of the half latch (on for each input signal A, B, C, D and one as just signal re-buffer) and drive illustrated in FIG. 53B and periodically a Flip-Flop. It could be estimated that this minimum circuit could be placed in an area of 2000-3000 F22. So a programmable fabric could be built with F1=F2, and then improving the logic density by using more advanced node for the support circuits ½*F1=F2, and then improving further the logic density by using more advanced node for the support circuits ¼*F1=F2, and so forth.

In some applications it might be desired to allocate specific gates in the 3D-NOR fabric for logic application. This could allow gates used to control active transistors of the LUT to be with higher speed capability by using thin oxide for those instead of O/N/O. As an example some of the gates connected in FIG. 13A to word-lines such as WL1, WL5, WL8, . . . could be designated for LUT application and would be formed with a thin oxide instead of O/N/O and would not be connected to the respective WL1, WL5, WL8, . . . . These gates would be connected to the programmable logic circuit after the 3D NOR has been flipped. In such case the programmable logic fabric could use the structure of FIG. 49B as the building block.

In some applications it might be desired to add on the peripheral circuits on top of the word-lines fabric 5632 using similar concept of layer transfer and “smart-alignment”. FIG. 57A illustrates peripheral circuits 5754 built over cut structure 5743 over carrier 5750. FIG. 57B illustrates the 3D NOR fabric of FIG. 56B. FIG. 57C illustrates flipping and bonding the wafer of the peripherals circuits of FIG. 57A on top of the 3D NOR fabric of FIG. 56B. FIG. 57D illustrates the structure after removal of the carrier 5750 and cleaning the cut structure 5743 residues, and then utilizing the “smart-alignment” adding connections 5756 to connect the peripheral circuits 5754 to the word-lines and the bit-lines of the 3D NOR fabric.

An optional partition of the 3D-NOR fabric, to a multiplicity of units, was previously presented in relation to FIG. 5A-FIG. 6B. In such alternative it could be desired to process staircase connections to the bit-lines first. It could also be preferred to form through the 3D-NOR fabric a multiplicity of through-fabric vias which could be used later to connect between the logic circuits 5654 and the peripheral circuits 5754. Then transfer the peripheral circuits on top. This unit's formation could be done so each unit has its own stair-case, and accordingly, its own word-lines and bit-lines so it is completely independent and accordingly each unit would be able to read write or erase the portion of memory cells under its control independent from other units. The through fabric vias could enable the logic fabric to control independently each unit to provide a multi-core type programmable fabric. Buses could be established on both sides to allow data to be transferred between cores and to external devices. Other layers could be added in to form even more complex systems with the option of adding in a range of communication circuits such as SER-DES and/or wireless communication with external devices. In this way this additional layer could be tested before integrating them with the 3D-NOR could fabric, and various redundancy techniques could be used with such 3D systems to provide better yield and field repair of the 3D programmable system.

The formation of the 3D NOR logic fabric as an array of semi-independent units fits well with the ideas of continuous array and 3D configurable FPGAs as presented in U.S. Pat. Nos. 8,384,426 and 8,115,511 incorporated herein by reference, and related to FIG. 7-13, FIG. 36-38, and FIG. 41.

FIG. 58A illustrates an alternative process flow for forming a 3D-NOR design which supports junctionless transistors on the S/D lines. The illustrated stack is designed wherein N+ type layer 5804 may be thinner than about 20 nm while the P type layer 5802 could be made thicker such as about 40 nm or even thicker, for example, 2×, 3×, 4×, even 5× the thickness of N+ type layer 5804 and then covering the stack with hard mask material 5806, such as, for example, Silicon Nitride or DLC, for the following patterning step. FIG. 58B illustrates the structure after deep trench etching forming ridges 5810 with deep valleys in between.

FIG. 58C illustrates the structure after deposition of a first dummy oxide and patterning/etching/forming vertical strips 5812 of the first dummy oxide. The oxides of this structure may be other dielectrics given various engineering, design, process integration and cost considerations.

FIG. 58D illustrates the structure after depositing, using process such as ALD, of the first charge trap, first O/N/O 5820, covered by first gate material 5822, followed by chemical mechanical polishing the first gate material 5822 until the first gate material 5582 becomes separated by hard mask 5806. Thereby, the first gate materials 5582 are vertically arranged and independently controlled.

FIG. 58E illustrates the structure after removing the first dummy oxide.

FIG. 58F illustrates the structure after filling in the structure with a second dummy silicon oxide 5830. Some of these filling steps may include CMP or other top layer removal of excess material.

FIG. 58G illustrates the structure after patterning the second dummy oxide 5832. This second oxide could serve as a spacer to protect first O/N/O 5820 from accidental write due to a second gate, which may be subsequently formed.

FIG. 58H illustrates the structure after selective etch of the uncovered P regions.

Alternatively, the structure of uncovered P region can be selectively removed before the second dummy oxide deposition and after the first dummy oxide removal. As a result, the second oxide could serve as a spacer to not only protect first O/N/O 5820 from accidental write due to a second gate but much more the second oxide could serve as a spacer in the formation of parasitic sidewall vertical NPN transistors gated by the second gate that will be subsequently formed.

FIG. 58I illustrates the structure after depositing, using process such as ALD, the second charge trap—second O/N/O and covering it with the second gate material 5840.

FIG. 59A illustrates a cut view 5905 of the structure 5900 along the S/D lines and through the S/D lines as indicated in the side illustration first plane 5904 in reference to the structure 5900. Structure 5900 is an illustration of the structure of FIG. 58I. The second gate 5908 will control the junction-less transistor embedded in the S/D lines 5906. The second dummy oxide provides spacing 5902 to the P regions channel 5909, so the second gate would not substantially affect the vertical NPN transistor between the S/D lines.

FIG. 59B illustrates a cut view 5915 of the structure along the word-lines vertically to the S/D lines through the second gate as indicated in the side illustrations second plane 5914 in reference to the structure 5900.

FIG. 59C illustrates a cut view 5925 of the structure along the word-lines vertically to the S/D lines through the first gate as indicated in the side illustrations third plane 5924 in reference to the structure 5900.

FIG. 59D illustrates a horizontal cut view 5935 of the structure through the S/D lines 5936 along the word-lines vertically to the S/D lines through the first gate as indicated in the side illustrations fourth plane 5934 in reference to the structure 5900.

FIG. 60A illustrates one junctionless transistor embedded within the S/D lines with second O/N/O and second gate surrounding it. The S/D line thickness TJL is critical to allow the second gate and/or the charge trap to turn the channel off. To be substantially effective the channel thickness could be made thinner than 20 nm (TJL<20 nm).

FIG. 60B illustrates the junctionless transistor and the three operating modes with the second gate and the second charge trap—Always Off, Gate controlled, Always On.

FIG. 61A illustrates the conditions to program a junctionless transistor, such as selected JLT 6101, as presented in the table in FIG. 61B.

The voltages suggested in FIG. 61B are an example, various alternative write conditions can be used, may be similar to the various write schemes available in NAND flash memory.

FIG. 62A illustrates the conditions to program a vertical NPN transistor, such as selected vertical NPN transistor 6201, as presented in the table in FIG. 62B.

The voltage suggested in FIG. 62B is an example so the various alternative write conditions can be used like there are various write scheme available in NOR flash memory

FIG. 63 illustrates an alternative for using the combination of the vertical NPN transistors and horizontal junctionless transistors to form the equivalent of a stair-case per layer connection by programming rather than by process.

A detailed illustration of how such a ‘ripple programming’ of a structure such as FIG. 63A could be demonstrated using FIGS. 63B-63G. FIG. 63B illustrates a small structure of 4 channel columns 1st CC 6311, 2nd CC 6312, 3rd CC 6313, 4th CC 6314 and 5 S/D lines 1st SD 6331, 2nd SD 6332, 3rd SD 6333, 4th SD 6334, 5th SD 6335. A direct contact could be made to the top S/D of the 4 channel columns and to the respective first gates of these columns and to the second gates in between 1st SG 6321, 2nd SG 6322, 3rd SG 6323, 4th SG 6324. On the right side of FIG. 63B a symbol map is provided which will be utilized for G-FIGS. 63B-63G.

FIG. 63C illustrates the first step of programming. Using the top contact 1st CC 6311 of the first channel column and its first gate the channel is programmed to always “On” and so forth for the second channel column 2nd CC 6312, the third 3rd CC 6313 and the fourth 4th CC 6314.

FIG. 63D illustrates the following step of programming. Using this programmed first channel and the second gates 1st SG 6321, 2nd SG 6322, 3rd SG 6323, 4th SG 6324 the JLTs of the second S/D line 2nd SD 6332 are programmed “off”. The JLT left of the first column 1st CC 6311 of the second S/D line 2nd SD 6332 is programmed “on”. In this ripple programming flow attention need to be made to time control programming of one site at a time and to stop before the program ripples to the next site.

FIG. 63E illustrates the following step of programming. Now the second channels and the third S/D line 3rd SD 6333 are program as illustrated.

FIG. 63F illustrates the following step of programming. Now the third channels and the fourth S/D line 6334 are programmed as illustrated.

FIG. 63G illustrates the following step of programming. Now the fourth channels and the fifth S/D line 6335 are program as illustrated.

And the ripple programming could be extended to complete forming access per layer S/D line as an alternative to the stair-case process.

Using a structure such as is illustrated in FIG. 49C for LUT-4 or other logic functions reduces the relative number of the required junctionless (“JLT”) transistors. For such structures an alternative approach could be used in which only those regions of the S/D lines that are designated to become JLT are made to have a channel of less than about 20 nm. This could be achieved with patterning or a targeting second trimming etch step or combination of these techniques. This process flow is illustrated in FIG. 64.

FIG. 64A illustrates a top view of such patterning of the layers stack forming ridges 6400 with designated “necks, N” 1st neck 6406 and 2nd neck 6412 for future JLTs. The figure also illustrates bridges 6422 between bridges over the “valleys” 6402 where this bridges ‘B’ are also designated as future JLT. The figure illustrates regions designated “C” for channel columns 6410 and region designated “A” for regular second gates 6408. The S/D regions approaching the “bridges” 6422 are designated “S” 6404 as they are designed to keep functioning as an effective conductor while they could share the “bridge” 6422 gates. By properly sizing the “S” 6404 they would be kept large enough from being substantially affected by the “bridge” 6422 gates.

FIG. 64B illustrates the structure after dummy oxide 1 and forming first O/N/O and first gates 6420. After forming these second gates the P regions are etched in all regions not covered by first gates, as discussed before.

FIG. 64C illustrates the structure after optional patterning an removal of regions of dummy oxide 1 thus forming dummy oxide N regions 6424 in preparation for a future ‘necking step’.

FIG. 64D illustrates the structure after forming second O/N/O and second gates 6428 on all uncovered regions.

FIG. 64E illustrates the structure after removal of the dummy oxide N regions 6424. At this point a ‘necking’ step could be performed assisted with patterning or a non-directional all-around silicon removal step. For this necking step an Atomic Layer Etch (“ALE”) could be used to allow good etch control so to avoid over etching. ALE techniques had been improved recently and as reported could allow controlled etching of about one or two nm increments of silicon. These had been reported in an overview paper by Keren J. Kanarik, et al titled “View of atomic layer etching in the semiconductor industry” published in Journal of Vacuum Science & Technology A 33, 020802 (2015), in a paper by Samantha Tan titled “Highly Selective Directional Atomic Layer Etching of Silicon” published in ECS Journal of Solid State Science and Technology, 4 (6) N5010-N5012 (2015), and a paper by G. S. Oehrlein, titled “Atomic Layer Etching at the Tipping Point: An Overview” published in ECS Journal of Solid State Science and Technology, 4 (6) N5041-N5053 (2015), all of these are incorporated herein by reference.

After the optional etching of the regions designated to become JLT to the tight size with channel of less than about 20 nm has been achieved, a third O/N/O and third gates could be deposited on at least all the designated JLT regions 6432 (could be approximately similar in shape to dummy oxide N regions 6424) as illustrated in FIG. 64F.

FIG. 64G illustrates a neck 6413 designated for JLT in a 3D view

FIG. 64H illustrates the third gates 6433 controlling the JLT in a 3D view.

FIG. 64I illustrates an alternative of an etch step opening 6443 the regions for JLT formation, thus exposing N+S/D bars 6441.

FIG. 64J illustrates the structure after an ALE process reduces the N+S/D bars 6441 to below about 20 nm thickness in at least one dimension necks thus forming reduced N+ bars 6451 to enable them to function as a JLT.

FIG. 64K illustrates the structure after adding third O/N/O and third gates 6453 to control the JLT.

Forming the necking for the JLT transistors is a relatively challenging process due to the small size the S/D lines need to be necked to allow the gate to control the JLT channel. The differential type of programmable logic structure presented herein allows the device to function in a wide range and wide variation of these JLTs. Yet a poor gate control of these JLT would increase the power wasting of the logic circuit. An optional approach could be to use less than 8 layers for the logic by allocating more ridges such as two or four with fewer layers to perform the comparable function.

The alternative structures presented herein are leveraging multilayer 3D stacks. FIG. 65A illustrates a first stack design 6500 for a 3D NOR memory fabric and FIG. 65B illustrates a second stack design 6502 for 3D NOR logic fabric. FIG. 65C illustrates a stack of logic 6512, such as 3D NOR logic, over a stack of memory 6510, such as 3D NOR memory. FIG. 65D illustrates a stack of logic 6522 over a stack of routing 6524 and FIG. 65E illustrates a stack of logic 6532 over a stack of routing 6534 over a stack of memory 5532. The architectures, structures and process flows presented herein suggest processing multiple layers together will greatly reduce the fabrication cost per layer providing overall a device with many layers of functional transistors and other structures providing density cost and performance advantages. These process flows could use a 2D patterning which affects many layers simultaneously as was detailed herein. While creating patterns in 2D in the X and Y directions is a well-known technique in semiconductor device processing, it is far harder to form variation in the Z direction. Yet in some of the structures presented herein there are differences between the memory structure, logic structure and routing structure. Processing devices that integrate these slightly different structures might be harder. So one option is to process those individually and then bond them together. Yet there are a few techniques to effect changes in the Z direction.

One such Z direction change technique is the thickness of the various layers in the stack. As the stack could be formed by epitaxial growth, changing the gases time or other process parameters could result in a stack with Z direction changes which could enable forming multilayer structures of about 50 nm per layer in thickness in the memory portion and forming multilayer structures of less than about 20 nm per layer for the N+ layers in the logic portion.

Another alternative is to put a blocking hard pattern in between the memory stack and the logic stack.

FIG. 66A illustrates a multi-layer stack 6600 for logic fabric with shadow of the planned pattern of FIG. 64A with planned locations for ‘bridges’ 6602 between ridges 6400. FIG. 66B illustrates forming a hard mask 6612 for these designated bridge locations. FIG. 66C illustrates adding on top of the structure of FIG. 66B a second multi-layer stack 6620 designated for the 3D NOR memory fabric. The second layer could be added by bonding using layer transfer techniques or by a second phase of epitaxial growth which would soon grow and cover the hard mask 6612. Then add on top hard mask 6640 to define the ridges and open the ‘valleys’ 6642 for etch. Now when a directional etch step is performed the memory stack would be formed into ridges 6640 while the logic stack underneath would formed into ridges with bridges 6612 in the designated locations. For such flow a alignment marks need to be formed in the logic stack so the ridges hard mask pattern could be aligned properly with bridges buried hard mask. Other variations of this concept could be used in conjunction with layer/stack transfer step to direct the processing step from the proper side.

While processing fabrics for 3D NOR Memory while also forming 3D NOR Logic could reduce cost in other cases it might work better to process these fabrics mostly independently and then connect them together for a better more efficient (cost and/or performance) overall 3D system. There are many options for mix and match between step and fabric presented herein and the choice of a specific flavor could also be affected by the objective target of the end 3D system.

Additional alternative could be used to further enhance the fabric routing capabilities. In this option the second O/N/O and second gates, or a portion of them, could be replaced by Resistive Random Access Memory—“R-RAM” or One Time Programmable—“OTP” structure. In such an option, this programmable post could be programmed to form bridges between adjacent ridges and between layers of the same ridge offering a very rich connectivity fabric.

A flow could start by modifying the flow in respect to FIG. 64C in which the protection of necking regions 6424 could be done by resist or other form of masking.

The starting point could be the 3D NOR structure as illustrated in FIG. 8B. Then the region designated to have R-RAM pillars are first filled with oxide in a non-directional deposition step in order to fill in with oxide those regions in which the P type silicon was removed. Then using a directional oxide etch, open the regions designated for RRAM by removing the oxide in regions other than in-between the S/D lines as illustrated in FIG. 67A. Followed by RRAM or OTP pillar formations using deposition techniques and sequence of RRAM or OTP formation layer—thin oxide as is illustrated in FIG. 67B, and conductive electrodes as is illustrated in FIG. 67C. Then using CMP or similar layer removing processing, the top surface is removed so these pillars are now isolated as is illustrated in FIG. 67D. FIG. 67E illustrates the resulting structure of a cut view (plane 6799) perpendicular to the S/D lines 6740 (at FIG. 67G).

An OTP technology has been presented U.S. Pat. Nos. 8,330,189 and 8,390,326 incorporated herein by reference. An RRAM compatible RRAM technology has been described in U.S. Pat. No. 8,581,349 such as in respect to FIG. 32A-J, FIG. 34A-L, FIG. 35A-F, its entirety incorporated herein by reference, a paper by D. Sekar titled “3D Memory with Shared Lithography Steps: The Memory Industry's Plan to “Cram More Components onto Integrated Circuits”, presented at IEEE S3S 2014, By Daeseok Lee et al, titled “BEOL compatible (300° C.) TiN/TiOx/Ta/TiN 3D nanoscale (˜10 nm) IMT selector” published at IEDM 2013, by Liang Zhao et al, titled “Ultrathin (˜2 nm) HfOx as the Fundamental Resistive Switching Element: Thickness Scaling Limit, Stack Engineering and 3D Integration” published at IEDM 2014; by Ke-Jing Lee, titled “Effects of Electrodes on the Switching Behavior of Strontium Titanate Nickelate Resistive Random Access Memory” published at Materials 2015, 8, 7191-7198; and also in papers by Sung Hyun Jo et al. in a paper titled “Programmable Resistance Switching in Nanoscale Two-Terminal Devices” published by Nano Let., Vol. 9, No. 1, 2009; by Adnan Mehonic et al titled “Resistive switching in silicon suboxide films” published by Journal of Applied Physics, Volume 111, Issue 7; and by Yuefei Wang et al. titled “Resistive switching mechanism in silicon highly rich SiOx (x<0.75) films based on silicon dangling bonds percolation model” published by Applied Physics Letters, Volume 102 Number 4; Volume 102 Number; and by Sungjun Kim et al. titled “Fully Si compatible SiN resistive switching memory with large self-rectification ratio” published at AIP ADVANCES 6, 015021 (2016), and titled Gradual bipolar resistive switching in Ni/Si3N4/n+-Si resistive-switching memory device for high-density integration and low-power applications published at Solid-State Electronics 114 (2015) 94-97; and by Shuang Gao et al. titled “Forming-free and self-rectifying resistive switching of the simple Pt/TaOx/n-Si structure for access device-free high-density memory application” published at Nanoscale, 2015, 7, 6031-6038; and by Umesh Chand, titled “Metal induced crystallized poly-Si-based conductive bridge resistive switching memory device with one transistor and one resistor architecture” published at APPLIED PHYSICS LETTERS 107, 203502 (2015); and by Adnan Mehonic titled “Resistive switching in silicon suboxide films” published by JOURNAL OF APPLIED PHYSICS 111, 074507 (2012); all of the foregoing are incorporated herein by reference.

It should be noted the ‘OTP RRAM’ technology described above herein may also be utilized as a multi-stage programmed technology, partially forming/programing to an intermediate resistance value and un-programming for emulation, and then a final full programmation to a low resistance value. With reference to U.S. Pat. Nos. 7,973,559 and 8,390,326, both incorporated herein by reference.

FIG. 67F illustrates the structure after the step of deposition of the RRAM/OTP layers 6728.

FIG. 67G illustrates the structure after removing the top surface of these layers by CMP or other technique, exposing the individual pillars 6738. Dash line 6740 will be utilized in FIG. 67G.

For proper operation a select device should be added to each pillar. These select devices, for example, could be an active transistor or a diode. The select device could use the vertical transistor or diode embedded within the ridges or may added in as polysilicon TFT devices. A simple flow could start by first etching the very top portion of these pillars.

FIG. 67H illustrates a side cut view along dash line 6740. It illustrates the RRAM/OTP electrodes filled 6742, the thin oxide barriers 6744, the S/D lines 6746, and the filled oxide 6748 between the S/D lines. Oxide, of course, could instead be a semiconductor process/device compatible dielectric.

FIG. 67I illustrates the structure after removing the top portion of the RRAM/OTP electrode thus forming voids 6752.

FIG. 67J illustrates the structure after filling in an N in-situ doped poly silicon 6754.

FIG. 67K illustrates the structure after follow-on filling in a P in-situ doped poly silicon 6756, thus completing the select device. In some alternatives the electrode itself could be part of the diode and the filling step could utilize one material type to complete the diode.

In some alternatives, the structure could include both type of pillars, RRAM and OTP. The OTP could function well for routing which might not need to be altered, for example, such as providing ground “0” to the lower S/D bar of the LUT-4; while the RRAM could function well for connections that would be desired to be reprogrammed. Herein, the junctionless transistor portions arranged in the horizontal plane are selectively replaced by the RRAM and/or OTP. These pillars could also be used for signal input or output by adding additional select elements such as diodes or transistors to protect interference with the pillar programming operation. It is important to note that the RRAM and OTP represented herein are desired to be Ohmic rather than self-rectifying.

The pillar could now be connected to word-lines. It could be desired to connect them in odd/even similar to the first gates connection illustration of FIG. 13A (WL2, WL3, WL5, WL6, . . . ).

OTP pillars are easier to construct, could offer easier programming and be good enough for most routing applications.

RRAM offer re-programmability and could also be used as embedded non-volatile memory. RRAM pillars could also be used to reduce the need for a JLT process. For such the S/D lines for the logic Ridges could be made with built-in disconnection gaps. RRAM pillars could be used to bridge the gaps with the help of the adjacent Ridge S/D lines for the programming phase.

Without LT the routing fabric could be a bit less efficient as vertical gaps could be made in all ridges of the fabric in odd/even phases, or other patterns, and RRAM pillars could be used to route signals to adjacent ridges for routing in the S/D lines direction.

RRAM pillars could also be used to allow the ripple programming option for per layer bit-lines structure formation as an alternative to the troublesome stair-case process. For this a modified flow of the one presented in FIG. 63B-63G could be used.

In such a modified flow, first vertical transistors could be programmed to “On” by first S/D contact 6311 and the corresponding first gate. Than first RRAM pillar could be connected to second S/D line 6332. Now using the first RRAM pillar a second vertical transistor could be turned “On”, and then third S/D line 6333 could be connected to second RRAM pillar. And so forth for all S/D lines. Then all the turned “On” vertical transistors could be turned Off and the correspond RRAM pillars could provide per layer connection to the S/D lines.

Another alternative use of these programmable vertical pillars (RRAM/OTP) is to help overcome poor yield of JLT structures. As discussed for the S/D lines to embed JLT the channel need to be sized below 20 nm—‘necking’. In processing such thin ‘necks’ there is a possibility that some of these necks may be fully disconnected. Such disconnection could present a challenge to program the transistors connected to the permanently disconnected S/D line.

Having the 3D NOR fabric being very memory fabric like, a self-test could be used to write and test read all locations in the fabric to identify defects and such permanently disconnected S/D lines. Using the connected S/D lines the pillars and ‘ripple’ style programming, a flow could be performed to program those transistors and overcome their S/D lines disconnection. Such flow could be illustrated using FIG. 68.

FIG. 68A is a modified illustration from FIG. 63A illustrating the replacement of second gates with RRAM pillars 1st RRP 6821, 2nd RRP 6822, 3rd RRP 6823, 4th RRP 6824. On the right side there is the symbol map. The S/D line 6th SD 6336 has a JLT neck 6830 while the S/D line 5th SD 6835 has its JLT ‘neck’ 6831 disconnected and so are the JLTs of S/D lines 3rd SD 6833 and 4th SD 6834.

FIG. 68B illustrates the first step of the ripple recovery flow. It illustrates ripple tuning “On” the junction of column 1st CC 6811 in between S/D lines 2nd SD 6832 and 3rd SD 6833.

FIG. 68C illustrates the following step of the ripple recovery flow. It illustrates connecting the RRAM pillar 1st RRP 6821 to the S/D line 3rd SD 6833 using S/D line 2nd SD 6832 and the recent turned On transistor.

FIG. 68D illustrates the following step of the ripple recovery flow. It illustrates connecting the RRAM pillar 2nd RRP 6822 to the S/D line 4th SD 6834 using S/D line 2nd SD 6832 after the ripple tuning “On” of the junction of column 1st CC 6811 in between S/D lines 3rd SD 6833 and 4th SD 6834.

FIG. 68E illustrates the following step of the ripple recovery flow. It illustrates connecting the RRAM pillar 3rd RRP 6823 to the S/D line 5th SD 6835 using S/D line 2nd SD 6832 after the ripple tuning “On” of the junction of column 1st CC 6811 in between S/D lines 4th SD 6834 and 5th SD 6835.

FIG. 68F illustrates the following step of the ripple recovery flow. It illustrates erasing the “On” transistors of column 1st CC 6811.

FIG. 68G illustrates the following step of the ripple recovery flow. It illustrates connecting pillar 2nd RRP 6822 also to S/D line 2nd SD 6832 and connecting pillar 3rd RRP 6823 also to S/D line 1st SD 6831. Now the channels between disconnected S/D bars 4th SD 6834 and 5th SD 6835 could be programmed by S/D lines 1st SD 6831 and 2nd SD 6832 using the RRAM pillars 2nd RRP 6822 and 3rd RRP 6823.

FIG. 68H illustrates the following step of the ripple recovery flow. It illustrates disconnecting pillar 3rd RRP 6823 from S/D 1st SD 6831 and connecting the RRAM pillar 1st RRP 6821 instead. Now the channels between disconnected S/D bars 3rd SD 6833 and 4th SD 6834 could be programmed by S/D lines 1st SD 6831 and 2nd SD 6832 using the RRAM pillars 2nd RRP 6822 and 3rd RRP 6823.

Once programmed the pillars could be disconnected from the unbroken S/D lines 1st SD 6831 and 2nd SD 6832 and normal programming could resume. There are other variations and alternative recovery flows that could be made possible using the RRAM/OTP pillars.

An additional alternative is to form the diode access device to the RRAM/OTP 6902 pillars electrode in two steps forming NP diodes for the odd pillars 6956 and PN diodes for the even pillars 6946 as is illustrated in FIG. 69A. In such case these pillars could be connected to the word-line 9690 as illustrated in FIG. 69B. The programming of the RRAM/OTP will use positive voltage for the even pillars programming and negative voltage for the odd pillars programming.

FIG. 69C illustrates formation of reverse diodes 1st RD 6972 and 2nd RD 6974 on the other side/end of the RRAM/OTP pillars 6902.

FIG. 69D illustrates the structure flipped so the word-line for the RRAM/OTP pillar programming is now at the bottom while the top side of the Pillar could be used for signal Input 6972 of the Fabric or Output 6974

FIG. 70A illustrates an example of signal structuring. Complementing logic signals are routed within the fabric using S/D segments, pillar segments and/or vertical channel column segments arriving to the top side of the fabric 1st Out 7002 and 2nd Out 7004. These signals may be then reconstructed by the half latch 7074, which may be disposed in the overlaying logic support circuits, and then fed to the gates of the next level LUT-X. Such could be implemented for each of the LUTs inputs. The dashed line is indicating the physical separation of the circuit portion within the 3D NOR fabric and the circuit portion within the logic layer/stack.

FIG. 70B illustrates alternative signal structuring. It uses a similar structure as half latch 7084 but instead of having the P transistors connected directly to Vdd they are connected a high resistance element 7082. This modified half latch is less of signal reconstruction circuit and more wire conditioning circuit supporting lower power operating option.

FIG. 71 illustrates optional signal re-buffering. The routed signal Output 7104 and its complement OutputN 7102 may be output through the pillar, reconstructed by half latch 7174 and re-driven by two N transistors 1st N 7120 and 2nd N 7122 and fed back to the fabric via 1st Input 7114 and 2nd Input 7112 through the appropriate pillars.

FIG. 72 illustrates optional clocked output reconstruction circuit 7274. The circuit could include clocked control to allow the signal to reach the half-latch only when the clock signal is high and otherwise the lines are pulled up by weak pull up structure 7214. Such could help reduce the power consumption and provide a latched input to the LUTs.

In another alternative the embedded JLT 6451 could be replaced by P doped poly silicon thus forming a lateral NPN transistor integrated into the S/D lines.

The flow could start first by filling oxide in-between S/D lines just as was shown for the RRAM/OTP pillar formation flow. Then, using non directional etch in defined window regions designated for lateral channel are etch in the S/D lines. Then P doped poly silicon may be deposited in a non-directional deposition techniques such as ALD could be used to fully fill the etched S/D regions. Then using directional etch the side poly is removed leaving the poly integrated with the S/D lines. Laser and other annealing techniques could be used to crystallize the poly silicon and integrate it with S/D N type silicon to complete formation of the lateral NPN transistors. Then third O/N/O and gate could be deposited and formed, substantially completing the structure.

The RRAM/OTP pillars 7302, 7304, could be used to form connection into the LUT-X logic cell to enable cell programming such as converting one LUT-4 into two LUT-2s, as is illustrated in FIG. 73B. This flexibility could be used in many ways, for example, such as outputting of a mid-term within a LUT-X, or inputting terms from other functions.

FIG. 73A illustrates the LUT-4 structure of FIG. 49C with adding the ‘input’ pillars 7302 and output pillars 7304.

FIG. 73B illustrates the structure being programmed to act as two LUT-2s. The symbol map on the right includes symbol 7301 to indicate connection from pillar to the S/D strip. A “0” signal is connected by pillars 7312 to the base of two LUT-2 1st L2 7354 and 2nd L2 7356. In between them the channel is programmed to “Off”-X. The LUT-2 1st L2 7354 could use pillar Out 17314 as its output and the LUT-2 2nd L2 7356 could use pillar Out 2 7315 as its output. Many variations could be implemented including which of the 4 inputs (A, B, C, D) would act on each of the LUT-2s (1st L2 7354, 2nd L2 7356)

FIG. 74A illustrates an alternative for the logic access to the RRAM/OTP pillars 7402. Using poly silicon deposition into the top pillars NPN TFT transistors 7412 could be formed.

FIG. 74B illustrates the structure after a step of timed directional etch of the RRAM/OTP electrodes following with non-directional etch of the resistive switching material.

FIG. 74C illustrates the structure after directional deposition of N type polysilicon 7404.

FIG. 74D illustrates the structure after ALD of 3rd O/N/O 7406, followed by directional etch (or potentially a light CMP) to remove it from the top surface of the N type polysilicon 7404.

FIG. 74E illustrates the structure after directional deposition of P type polysilicon 7408.

FIG. 74F illustrates the structure after an additional direction deposition of N type polysilicon 7410. An annealing such as laser annealing could be used to improve the performance of the newly formed top select device NPN transistor at the top region 7412 of RRAM/OTP pillars.

The top S/D lines 7411 would act as the gate for the programming of the 3rd O/N/O 7406 to program these select transistors.

FIG. 74G illustrates an alternative for the programming access. It illustrates NPNs with a fourth O/N/O to provide programmable access to the Input/Output and through the control circuit Y direction routing, and no diodes 7451 at the bottom programming access, but rather Odd programming access 7452 and even programming access 7454.

FIG. 75A illustrates the RRAM/OTP pillars 7502 usage to connect to a connectivity structure. The control circuits 7512 which overlays the 3D NOR fabric could be processed to provide metal connection grid 7520 to support long track connectivity, for example, long track 7514. Such could be architected to add long tracks to the programmable fabric. Metal connection grid 7520 may be integrated within the metallization of control circuits 7512 layer, or may be constructed as a separate layer or layers.

FIG. 75B illustrates an alternative in which multiple RRAM/OTP pillars 7502 may have programmable connection 7532 to a shared Y direction strip 7534 as part of the Y direction connection fabric 7530 constructed as part of the overlaying control circuits.

Differential routing is an option that has some advantages but does consume twice the routing resources. In some applications mixing differential routing with conventional single ended routing could provide better overall optimization. Having mixed types of routing resources such as conventional metal routing over the control circuits 7530 and silicon through RRAM/OTP connection and through ONO programmable transistors in the 3D NOR fabric might advise mixing also the routing techniques. Accordingly standard single ended could be use for signals over metal while differential type could be used for the other type of routing resources.

An alternative for forming an NPN select device for the RRAM/OTP pillar is by depositing or transferring an NPN layer and then etch it thus leaving select device on top of each pillar.

FIG. 76A illustrates a step of depositing oxide isolation and patterning it to expose the pillars 7602.

FIG. 76B illustrates the structure after depositing N+/P/N+ polysilicon layers 7604 or alternatively layer transferring N+/P/N+ mono-crystal layers.

FIG. 76C illustrates the structure after patterning and etching away and leaving vertical NPN 7606 devices on top of the RRAM/OTP pillars. The process for the select transistor may use a more advanced node than that used in the 3D fabric core process. Thus, the vertical NPN select transistor and select gate can be accommodated within the pitch of the ridge.

FIG. 76D illustrates the structure after adding isolation oxide and etch back and then O/N/O deposition over the vertical NPN 7606 devices. A directional etchback may optionally be performed.

FIG. 76E illustrates the structure after forming 3rd gate 7666 in S/D line direction. Additional isolation oxide may be deposited and planarized.

Additional alternative is to replace the ‘necking’ process with a channel replacement process thereby instead of forming JLTs by ‘necking’, an NPN may be formed by replacing the ‘neck’ with P type poly silicon as is illustrated in the following FIGS. 77A-77D.

FIG. 77A illustrates a designated region for lateral transistors. It could start with a region such as the one designed for RRAM/OTP pillars similar to FIG. 67A which may be processed with an isotropic S/D line etch resulting with the structure of FIG. 77A.

FIG. 77B illustrates the structure of FIG. 77A after filling-in using a conformal P type poly silicon deposition.

FIG. 77C illustrates the structure after directional etch of the poly silicon from the valleys. A laser annealing or other type of annealing could be used to improve the performance of the formed lateral NPN transistors 7704.

FIG. 77D illustrates the structure after adding second O/N/O and second gates 7702.

This kind of lateral NPN could be formed as an alternative to JLTs as were been presented herein.

For the read additional circuits could be added for the S/D line with integrating an analog to digital converter. Such structures could support multiple signal processing techniques to allow flexibility between storage density, access speed, and device yield. This charge trap 3D NOR memory could be used also for brain-like storage where charges are being added to memory locations in similar fashion to the human brain synapse. As a general note we described herein a memory structure and variations. There are many ways to form other variations of these structures that would be obvious to an artisan in the semiconductor memory domain to form by the presented elements described herein. These may include exchanging n type with p type and vice versa, increase density by sharing control lines, silicidation of some in-silicon control lines, improve speed and reduce variation by strengthening bit-lines and word-line with upper layer parallel running and periodically connected metal lines.

The sizing of the structure and accordingly of the memory channel could be designed in consideration of access time, operation time memory durability costs and many other considerations. The 3D structure provides interesting attributes as more memory could be added by having a larger number of layers. Processing a higher number of layers is easier when the dimensions of the patterns within the layer are relatively larger. In general the historic trend of the industry has been to make devices smaller and smaller to reduce cost per storage bit and increase memory integration. As size gets reduced beyond a certain level the bit storage will be limited both in how much charge and accordingly how many levels could be stored in one charge trap site Additionally, bit storage will be limited by how many sites could be used on one facet without cross interference between them, also called the second-bit effect (SBE), retention time, reliability, and control-lines resistance and capacity (RC) are all negatively impacted as well. In a 3D NOR structure the individual memory cell could be kept relatively large to achieve the desired attributes of bit capacity on a individual facet both in number of sites and how many levels are stored in each site. This will achieve the desired reliability retention and access time while increasing the number of layers to increase memory integration and reduce cost per memory cell. The dimension of—length, width, and height of the memory cell channel could be designed accordingly and those could be relatively similar resulting with a cube like channel or varied to so they are very different. The formation of the O/N/O structure could be modified to enable a charge trap structure that has on its own multiple layers to allow more levels for the multilevel bit storage techniques. Some of these approaches are detailed in papers by: Gu Haiming et al titled “Novel multi-bit non-uniform channel charge trapping memory device with virtual-source NAND flash army” published in Vol. 31, No. 10 Journal of Semiconductors October 2010; Ye Zhoul, et al titled “Nonvolatile multilevel data storage memory device from controlled ambipolar charge trapping mechanism published at SCIENTIFIC REPORTS 13: 23191 DOI: 10.1038/srep02319; Kyoung-Rok Han et al titled “:Multi-bit/Cell SONOS Flash Memory with Recessed Channel Structure” published at NSTI-Nanotech 2008; by Guangli WANG titled “Charge trapping memory devices employing multi-layered Ge/Si nanocrystals for storage fabricated with ALD and PLD methods” published at Front. Optoelectron. China 2011, 4(2): 146-149; by Yan-Xiang Luo et al titled “Coupling of carriers injection and charges distribution in Schottky barrier charge trapping memories using source-side electrons programming” published at Semicond. Sci. Technol. 29 (2014) 115006 (8pp); by Chun-Hsing Shih, titled “Reading Operation and Cell Scalability of Nonvolatile Schottky barrier Multibit Charge-Trapping Memory Cells” at IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 6, JUNE 2012, by Zhenjie Tang et al titled “Dependence of memory characteristics on the (ZrO2)x (SiO2)1-x elemental composition” at Semicond. Sci. Technol. 30 (2015) 065010, by Jun Yong Bak Nonvolatile Charge-Trap Memory Transistors With Top-Gate Structure Using In—Ga—Zn—O Active Channel and ZnO Charge-Trap Layer” at IEEE ELECTRON DEVICE LETTERS, VOL. 35, NO. 3, MARCH 2014, and U.S. Pat. No. 8,822,288 all incorporated herein by reference.

The differential amplifier circuit illustrated in FIG. 54 herein could be used to enhance the performance of the 3D NOR logic fabric described herein. It could be used both for LUT output reconstruction and other logic function output reconstruction and also for routing signal reconstruction. These types of signal reconstruction tend to consume more power and using it in combination with a clocking circuit might help reduce such power expenditure. Also a new type of device, for example, such as SOI Lateral Bipolar transistors as been presented by Tak H. Ning in a paper titled “A Perspective on Future Nanoelectronic Devices” published at IEEE VLSI-TSA 13, incorporated herein by reference, could be used for such circuit.

The 3D NOR fabric uses the O/N/O ‘mirror bit’ aspect to store many bits on each facet and accordingly a none conducting charge trap is valuable to increase memory storage. The use of 3D NOR fabric for logic and routing does not leverage this aspect and accordingly a floating gate such as polysilicon could be as useful. An artisan in the art could do the proper modifications to the process flows presented in herm for alternatives utilizing the 3D NOR structure described herein utilizing alternative storage mediums such as floating gate, ReRAM, in which the O/N/O structure could be replaced by ReRAM structure, floating gate based structure and so forth.

The structure of this 3D NOR could be modified by changing the gate stack to construct a 3D-DRAM using the floating body technique.

The Floating body of the 3D-DRAM or of the 3D-NOR Universal memory could be refreshed using the self-refresh described herein.

A silicidation could be used in some portions of the S/D lines such as for regions designated to be potential contacts to the RRAM/OTP pillars as is illustrated in FIG. 67A. This can lower contact resistance and also improve the S/D effective resistivity.

For a JLT to have low off current it might be desired to limit the dopant of the S/D lines below 1E20 atoms/cm3, yet for the S/D lines to serve better as a routing fabric it would be better to have them doped to over 1E20 atoms/cm3. An optional solution could be to add doping by diffusion (gas, solid, implant, depending on integration engineering choices) or similar techniques while the regions for the JLT are protected using lithography and proper masking.

The 3D NOR fabric could be programmed to enable additional LUT type functions and other programmable functions. In the following sections, some of these other non LUT functions are presented.

FIG. 78A illustrates an exemplary generic structure of the top 9 S/D lines between lateral S/D line transistors 7802; having 8 first gates 7804 and 7 RRAM/OTP pillars 7806. FIG. 78B illustrates an exemplary structure of the top 10 S/D lines.

FIG. 79 illustrates implementing the structure of the top 10 S/D lines shown in FIG. 78B for a 2 bit (A, B) decoder with 4 outputs (O-00, O-01, O-10, O-11). The 4 outputs are dumped into pillars through each S/D lines connected RRAM/OTP. A pillar 7902 may be used to connect two S/D segments, first S/D segment 7904 and second S/D segment 7906, to ground (‘0’), where the first S/D segment 7904 feeds the ground signal into two top programmable 8 channels first top channel 7912 and second top channel 7914 and the second S/D segment 7906 feeds the ground signal into two bottom programmable 8 channels, first bottom channel 7916 and second bottom channel 7918. The control input gate lines (A, AN, B, BN) would control the top programmable 8 channels first top channel 7912 to decode input 00 (AN=1, BN=1) to connect the top S/D line to ground and accordingly the 8 channels second top channel 7914 will connect the Out-01 to ground for 01 input, and so forth for first bottom channel 7916 and second bottom channel 7918. The adjacent ridge could be programmed to form an inverse decoding. This function impacts 4 outputs which would otherwise would have required 4 structures for its implementation. The structure flexibility increases utilization and performance while reducing power consumption.

FIG. 80 illustrates implementing a 2 bit (S1, S2) de-multiplexer with 4 outputs (O-00, O-01, O-10, O-11). A pillar 8002 may be used to connect two S/D segments, first S/D segment 8004 and second S/D segment 8006 to ground an input A, otherwise this structure is similar to the decoder of FIG. 79. The control input gate lines (S1, S1N, S2, S2N) would control the top programmable 8 channels first top channel 8012 to decode input 00 (S1N=1, S2N=1) to connect the top S/D line to ground and accordingly the 8 channels second top channel 8014 will connect the Out-01 to ground for 01 input, and so forth for first bottom channel 8016 and second bottom channel 8018. The adjacent ridge could be programmed to form the same decoding for input signal AN. This function impacts 4 outputs which would otherwise would have required 4 structures for its implementation. The structure flexibility increases utilization and performance while reducing power consumption

The structure of FIG. 80 could be also be extended further to be used as a multiplexer in which the 4 outputs (O-00, O-01, O-10, O-11) are used as inputs of which one would be connected the output pillar 8002 based on the selection of the control input gate lines (S1, S1N, S2, S2N).

FIG. 81 illustrates using the NOR fabric structure for implementing the function of a full adder illustrated by adder schematic 8100. The mid S/D segment 8102 is the result of an XOR of A, B inputs. It connected by a pillar and on logic circuit 8110 to the input D. The carry-in input is input C. The second XOR is implemented using three S/D segments. First S/D segment 8103 would transfer the first XOR output to the S output 8103 if Cin=0 and otherwise the inversion of the XOR using the D gate to second S/D segment 8104 and Cin=1 would be transferred to the S output. The Cout output would be at third S/D segment 8108 using AND function 8106 of A, B input ‘daisy chained OR’ and the AND function of the Cin and the first XOR. An adjacent ridge could be used to form the inversion function.

FIG. 81 is an illustration of the fabric usability for one of many types of logic functions. For example:

Many additional functions could be formed to enhance the overall usability of the 3D NOR fabric for programmable logic implementation.

Two function outputs could be wired together forming a wired-AND function (one of the functions is low and the result is low).

An output of one function could be used in a following function by connecting it instead of the ‘0’ input forming a ‘daisy chain’ OR connection (one of the function is ‘high’ and the output is ‘high’).

So if two functions arm wired AND their inverting function could be ‘daisy chain’ OR to form a proper inverted signal.

An alternative approach to connect multiple functions is using Output Enable (“OE”) control.

A structure for LUT-4 could be degraded to LUT-3 with one input function as OE.

FIG. 82 illustrates the 8 gates structure used for 4 signals output enable buffers, first OE buffer 8201, second OE buffer 8202, second OE buffer 8203, and fourth OE buffer 8204.

FIG. 83 illustrates a clocked half latch reconstruction circuit 8374 in which the logic outputs first logic output 8301 and second logic output 8302 could affect the half latch only at the up phase of the Ck 8304 signal. The latched signals are then fed to the next level as inputs, for example, such as illustrated for D and DN.

For some functions single ended logic could be used via a modified ‘domino logic’ reconstruction circuit. FIG. 84 illustrates such a reconstruction circuit 8474. The single ended output 8402 could function to affect the clocked half latch when active but if it is at a high resistivity state then at the high phase of the clock signal a pull up could activate a transistor 8414 to reset the half latch instead of the missing complement output.

Since the design of 3D NOR fabric allows for non-volatile (NV) programming of its channels it could support built-in NV memory. FIG. 85 illustrates a unit of 32 bits of NV memory. This particular configuration utilizes a fixed top control circuit connection such as is illustrated in FIG. 83 in which gates are connected in pairs, the signal and its complement (D, DN). In such configuration only half of the channels are utilized as memory indicated as “b” in the drawing) while the other half are programmed to always off (“X”).

There are 4 select lines—S1, S2, S3, S4. One of those could be selected by connecting it to “ground” while the other are kept at high resistivity/floating. A 2 to 4 circuit (FIG. 79) could be used for this. Then by controlling one of the 4 gates inputs—A, B, C, D, the memory content of half of the channels would affect the output 01 while the other half would affect the other output 02.

The RRAM/OTP pillars may be programmed to be connected as illustrated in FIG. 85. Therefore, output pillar can be arbitrarily connected to the any of S/D segments upon design considerations. For example, output pillar O1 is connected to S/D segments—Seg1 8501, Seg5 8505, Seg 9 8509, so output pillar O2 is connected to S/D segments—Seg3 8503, and Seg7 8507. And Select input S1 is connected to segment Seg2 8502. Select input S2 is connected to segment Seg4 8504. Select input S3 is connected to segment Seg6 8506. And select input S4 is connected to segment Seg8 8508.

If the gates input are not pre-connected in pairs then the memory content of the structure could be doubled.

The structure could be programmed in pairs, a ridge and its complement, for double output reconstruction. If a single ended output reconstruction is used then the memory density could be doubled.

Another type of memory that could be implemented within the 3D NOR logic fabric is volatile memory utilizing the floating body effect of the P channel and the refresh techniques described before in respect to floating body memory under the terms ‘periodic refresh’, ‘self refresh’ or “Autonomous Refresh”. Some of this technique has been detailed in a paper by Takashi Ohsawa et. al. entitled: “Autonomous Refresh of Floating-Body Cell due to Current Anomaly of Impact Ionization”. FIG. 86A presents the signal chart and FIG. 86B a table for the operation of such a floating body memory including the conditions for “Autonomous Refresh”. The WL in the table is equivalent to a gate of the 3D NOR fabric, the BL and SL correspond to a pair of S/D lines, respectively, and the substrate shown in the table corresponds to a gate located on opposite site of the WL gate. The voltage shown in the table is exemplary but the values can be varied according to the design and other engineering considerations. The 3D NOR fabric provides dual access to each channel location. From the ‘bottom’ the programming of the fabric and from the top the programmable logic and using reconstruction circuits. The bottom circuits 8710 could be designed to provide the refresh signals as illustrated in FIG. 87. The RRAM/OTP pillar could be used through diodes to provide the BL—Refresh of 2.4V through B1 refresh line, The gate side control could be used for the WL signal for the refresh through the W1 refresh lines. The dual access to each channel location enables the data access and refresh operation, simultaneously. This scheme may save latency due to interruption for the refresh, which results in an access time boosting.

The top circuit 8720 illustrates two sections. The left side is the direct access for reading the memory using the other side of the RRAM/OTP pillars to individually access the ‘bit-lines’ of each memory row—b1, b2, b3, b4, b5, b6. This could be done using the differential approach by having the adjacent ridge storing the complement data and using the half latch or differential amplifier circuit to compare the corresponding ‘bit-lines’ for the selected memory column by selecting one gate line acting as word-line—w1, w2, w3, w4, w5, w6, w7, w8.

The SL lines are the segments marked “0” and are shared between two memory cells. The other S/D segments are used for the BL lines. The right side of the structure is providing the write voltages for the structure Vpp (2.4V) or Vpp—(−1.5V). It utilizes three S/D segments marked as Vpp/Vpp- to distribute these writing voltages which then could be activated for the selected row by the gate control of one of p1, p2, p3, p4, p5, p6. The write control portion could support multiple memory structures if connected in series to the left side bit memory structure.

Both types of memory are dual port as they are accessible from the ‘top’—the logic fabric side and from the ‘bottom’, the programming side.

Alternatively another mode of “Autonomous Refresh” could be used as outlined in the referenced paper and is illustrated in FIGS. 88A and 88B, which would be very applicable to the 3D NOR fabric having two independent gates for each channel. Again the bottom circuits 8710 cold provide the “Autonomous Refresh” function.

The top control circuit 8720 for the RAM portion is dedicated and accordingly FIG. 87 illustrates an independent control for each gate lines (w1, w2, . . . p1, p2, . . . ) and not by pairs. These dedicated portions of the top logic control circuit could provide the circuit to select the write signals (2.4V/−1.5V) for the write ‘1’ write “0” which be connected in inverse to the two adjacent ridges, so write ‘1’ could be attached with write ‘0’ to the corresponding channel in the adjacent ridge. The top control circuit could also include a sense amplifier circuit to determine the content of a memory cell being read. Sense amplifiers for memory read are known in the art. A paper by Xuelian Liu, et al titled “A three-dimensional DRAM using floating body cell in FDSOI devices” published at DDECS, 2012 IEEE, and U.S. patent application Ser. No. 13/563,960, all the forgoing incorporated herein by reference, present such optional memory sense amplifier. The memory structure could include a two complementing memory bank which improves the read speed or could be structured as high density with one memory cell per stored bit, in which the detection is made against a predefined reference.

The utilization of the 3D-NOR fabric for logic is highly dependent on the efficiency of the overlying control circuit. If the process node used for the control logic is advanced enough then substantially all of the fabric ridges could be used for logic operations. If the control logic circuit density is further improved it might be desired to improve the overall logic density by having the two complementing logic units, one underneath the other, as is illustrated in FIG. 89A. The upper LUT4 8910 shares the starting point of the S/D segment connected to ground “0” 8915, with the complimentary logic in LUT4-Not 8912. LUT4 8910 may be considered to be ‘above’ or ‘on top of’ LUT4-Not 8912.

FIG. 89B illustrates the connection of ground “0” 8915 to the middle S/D line using an RRAM/OTP pillar, and the output L, LN connected by RRAM/OTP pillars. The input gates (A, AN, B, BN, . . . ) are shared between the top and the bottom LUTs function. Accordingly the useful NOR Fabric logic density could be doubled.

FIG. 90 illustrates the modification to the process/structure to enable this density doubling. It is a modification on FIG. 36B-4 (36E). To achieve an effective double density, the gate structure in the valleys between ridges needs to be split into two independent gates: first gate 9006 to control the channel of the right ridge 9008 and second gate 9004 for the left ridge 9002. The ALD process for forming the first gate stack would be modified to enable splitting the gate.

Another alternative to increase the 3D NOR logic density is to use the bottom side for logic as well. A layer transfer flow for forming a 3D programmable system leveraging the 3D NOR fabric was described in respect to FIG. 56A-G and FIG. 57A-D herein. FIG. 91A illustrated a 3D programmable system including a carrying substrate 9110, a smart connection layer 9156 connecting the peripherals programming circuit 9154 to the 3D-NOR fabric 9130 with overlaying logic control circuit 9164.

FIG. 91B illustrates the structure adapted to support logic on both sides, the bottom NOR fabric 9112 with its bottom control circuits 9174, and the top NOR fabric 9102 with its top control circuits 9164.

The programming peripherals circuits 9154 could be multiplexed with the bottom logic control circuits 9174 with access to the gates.

The gates could be allocated between right side of the ridge and left side and top control and bottom control circuits. Alternatively the fabrication of the 3D NOR fabric could include isolation of the gate between top and bottom using technique such as the one described in respect to FIG. 65A-E.

Another alternative enhancement for the 3D NOR logic fabric is adding Lateral RRAM for Y direction connectivity. The starting point is illustrated in FIG. 92A which is very similar to FIG. 64E. It illustrates a first bridge segment 9202 formation that extends across a group of ridges and then interrupt 9204 and then continue again as second bridge segment 9203. The Lateral RRAM formation starts before the necking. First a thin oxide barrier is deposited using ALD or similar technique. Then the RRAM electrode is deposited using ALD or similar technique, followed by a directional etch step leaving the conductive electrodes only in between the ‘bridges’ and the S/D region (Under S and B, and optional under N). Effectively forming strips of lateral RRAM which stop at interrupt regions 9204. This in-between the S/D lines RRAM could help the routing of signal in the ‘Y’ direction 9200.

Now the necking step could be done followed by its O/N/O and gate formation.

The programming of the Lateral RRAM portion can be conducted by the resistance change across the resistive switching material. The resistive switching materials incorporated herein can be electrolyte materials such as conductive bridge material, or phase change materials where its crystallographic phase can be changed from amorphous-to-crystalline or crystalline-to-amorphous by Joule heating, or a thin oxide layer where its oxygen vacancies form charge traps or conductive filaments. The resistance across the resistive switching materials is substantially changed from before to after the programming. The resistive changing material is normally insulating, but it is made to be conductive through the conductive path, which is called programming. The programming can be carried out by applying a high voltage, depending on material and design considerations for example such as 5 V, between a pillar and an S/D segment crossing a node to be programming. If the multi-time programmability is available, the programmed state can be erased. For example, if the erase mechanism involves the movement of oxygen vacancies, a high negative voltage such as −5 V is applied between a pillar and an S/D segment crossing a node to be erased. Alternatively, if the erase mechanism involves Joule heating, a high positive voltage but less than the programming voltage such as 3 V is applied between a pillar and an S/D segment crossing a node to be erased. During the programming or erasing operations, the lateral junctionless transistors on the selected pair of S/D segments are all turned on by applying a pass voltage to the second gate lines regardless of the programmed statues of the JLTs.

FIG. 92B illustrates a programming support pillar 9224 constructed to support the lateral RRAM programming. Using a lithographic step a window (where pillar 9224 is desired) is defined in the space 9204 between lateral RRAM. Then first a non directional/isotropic etch step is performed to etch the RRAM electrodes region in contact with the window (where pillar 9224 is desired). Then the whole window is filled with N+ type poly silicon. Then using directional/anisotropic etching the N+ poly is removed from all uncovered area so the N+ poly is left only in the region in which the electrode was etched away. Then the window (where pillar 9224 is desired) is filled with P+ poly forming a conductive pillar 9224 with diode feeding each of the lateral RRAMs.

Now these pillars 9224 could be connected forming a fourth gate to be used to start the lateral RRAM programming by feeding positive voltage through the P+ poly pillars to the lateral RRAMs. Then the lateral RRAM connection to the selected regions of the selected S/D lines could be done by selecting specific locations of the specific S/D segment to be connected to the relevant lateral RRAM. G

An alternative application of the technology is to use part of the 3D NOR logic fabric for operations resembling a brain Synapse. A paper by Lixue Xia titled “Technological Exploration of RRAM Crossbar Array for Matrix-Vector Multiplication” published at JOURNAL OF COMPUTER SCIENCE AND TECHNOLOGY 31(1): 3-19 Jan. 2016, incorporated herein by reference, teach the use of a crossbar RRAM array for matrix-vector multiplication. Accordingly the RRAM pillars and the corresponding S/D segments could be used for such functions. Papers by Sangsu Park et al titled “Electronic system with memristive synapses for pattern recognition” published by Scientific Reports|5:10123|DOI: 10.1038/srep10123, by Yu Wang et al, titled “Energy Efficient RRAM Spiking Neural Network for Real Time Classification”, published at the 25th Symposium on VLSI, by Manan Suri, titled “Exploiting Intrinsic Variability of Filamentary Resistive Memory for Extreme Learning Machine Architectures” published by IEEE Transactions on Nanotechnology 15 Jun. 2015 and Sangsu Park, titled “Nanoscale RRAM-based synaptic electronics: toward a neuromorphic computing device” published by Nanotechnology 24 (2013), all the forgoing incorporated herein by reference teach use of an RRAM cross-bar for brain type processing and accordingly could be implemented in the 3D NOR fabric RRAM pillars and the corresponding S/D segments.

Another alternative is to utilize the 3D NOR fabric floating-body memory structure for Synapse type circuit as is presented in paper such as one by Min-Woo Kwon et al titled “Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing-Dependent Plasticity” published by JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL. 15, NO. 6, December, 2015, incorporated herein by reference.

As a general note we described herein 3D memory structure and variations. There are many ways to form other variations of these structures that would be obvious to artisan in the semiconductor memory domain to form by the presented elements described herein. These may include exchanging n type with p type and vice versa, increase density by sharing control lines, silicidation of some in silicon control lines, providing stair case on both sides of memory blocks to improve speed and reduce variation including sharing staircase in between two blocks and other presented variations herein. Many of these options had been presented in some memory options in more details and it would be obvious to artisan in the semiconductor memory domain to apply to the other memory structures.

The structures and flow presented herein are utilizing NPN transistors. Other types of transistors with the corresponding modification of process and materials could be used as alternative such as junction-less transistors, or non-silicon transistors (for example SiGe, CNT, and so on). Those alternatives could be implemented leveraging the special benefits of the architecture disclosed herein.

It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. For example, drawings or illustrations may not show n or p wells for clarity in illustration. Moreover, transistor channels illustrated or discussed herein may include doped semiconductors, but may instead include undoped semiconductor material. Further, any transferred layer or donor substrate or wafer preparation illustrated or discussed herein may include one or more undoped regions or layers of semiconductor material. Further, transferred layer or layers may have regions of STI or other transistor elements within it or on it when transferred. Rather, the scope of the invention includes combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by appended claims.

Or-Bach, Zvi, Han, Jin-Woo

Patent Priority Assignee Title
11694015, Jun 23 2021 NXP B.V. Signal routing between memory and memory controller
Patent Priority Assignee Title
10199354, Dec 20 2016 Intel Corporation Die sidewall interconnects for 3D chip assemblies
10666264, Dec 13 2018 Micron Technology, Inc. 3D stacked integrated circuits having failure management
3007090,
3819959,
4009483, Apr 03 1972 Motorola, Inc. Implementation of surface sensitive semiconductor devices
4197555, Dec 29 1975 Fujitsu Limited Semiconductor device
4213139, May 18 1978 Texas Instruments Incorporated Double level polysilicon series transistor cell
4400715, Nov 19 1980 International Business Machines Corporation Thin film semiconductor device and method for manufacture
4487635, Mar 25 1982 Director-General of the Agency of Industrial Science & Technology Method of fabricating a multi-layer type semiconductor device including crystal growth by spirally directing energy beam
4510670, Mar 30 1982 Siemens Aktiengesellschaft Method for the manufacture of integrated MOS-field effect transistor circuits silicon gate technology having diffusion zones coated with silicide as low-impedance printed conductors
4522657, Oct 20 1983 Westinghouse Electric Corp. Low temperature process for annealing shallow implanted N+/P junctions
4612083, Jul 20 1984 NEC Corporation Process of fabricating three-dimensional semiconductor device
4643950, May 09 1985 Agency of Industrial Science and Technology Semiconductor device
4704785, Aug 01 1986 Texas Instruments Incorporated; TEXAS INSTRUMENTS INCORPORATED, A CORP OF DE Process for making a buried conductor by fusing two wafers
4711858, Jul 12 1985 International Business Machines Corporation Method of fabricating a self-aligned metal-semiconductor FET having an insulator spacer
4721885, Feb 11 1987 SRI International Very high speed integrated microelectronic tubes
4732312, Nov 10 1986 VOUGHT AIRCRAFT INDUSTRIES, INC Method for diffusion bonding of alloys having low solubility oxides
4733288, Jun 30 1982 Fujitsu Limited Gate-array chip
4829018, Jun 27 1986 LEGION ADVANCES LLC Multilevel integrated circuits employing fused oxide layers
4854986, May 13 1987 Intersil Corporation Bonding technique to join two or more silicon wafers
4866304, May 23 1988 Motorola, Inc. BICMOS NAND gate
4939568, Mar 20 1986 Fujitsu Limited Three-dimensional integrated circuit and manufacturing method thereof
4956307, Nov 10 1988 Texas Instruments, Incorporated Thin oxide sidewall insulators for silicon-over-insulator transistors
5012153, Dec 22 1989 Hughes Electronics Corporation Split collector vacuum field effect transistor
5032007, Apr 07 1988 Honeywell, Inc. Apparatus and method for an electronically controlled color filter for use in information display applications
5047979, Jun 15 1990 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
5087585, Jul 11 1989 NEC Corporation Method of stacking semiconductor substrates for fabrication of three-dimensional integrated circuit
5093704, Sep 26 1986 Canon Kabushiki Kaisha Semiconductor device having a semiconductor region in which a band gap being continuously graded
5106775, Dec 10 1987 Hitachi, Ltd. Process for manufacturing vertical dynamic random access memories
5152857, Mar 29 1990 Shin-Etsu Handotai Co., Ltd. Method for preparing a substrate for semiconductor devices
5162879, Apr 06 1990 Texas Instruments Incorporated Diffusionless conductor/oxide semiconductor field effect transistor and methods for making and using the same
5189500, Sep 22 1989 Mitsubishi Denki Kabushiki Kaisha Multi-layer type semiconductor device with semiconductor element layers stacked in opposite directions and manufacturing method thereof
5217916, Oct 03 1989 TRW Inc. Method of making an adaptive configurable gate array
5250460, Oct 11 1991 Canon Kabushiki Kaisha Method of producing semiconductor substrate
5258643, Jul 25 1991 Massachusetts Institute of Technology; MASSACHUSETTS INSTITUTE OF TECHNOLOGY, A CORPORATION OF MA Electrically programmable link structures and methods of making same
5265047, Mar 09 1992 MONOLITHIC SYSTEM TECHNOLOGY, INC High density SRAM circuit with single-ended memory cells
5266511, Oct 02 1991 Fujitsu Semiconductor Limited Process for manufacturing three dimensional IC's
5277748, Jan 31 1992 Canon Kabushiki Kaisha Semiconductor device substrate and process for preparing the same
5286670, May 08 1991 Korea Electronics and Telecommunications Research Institute Method of manufacturing a semiconductor device having buried elements with electrical characteristic
5294556, Jul 20 1990 Fujitsu Limited Method for fabricating an SOI device in alignment with a device region formed in a semiconductor substrate
5308782, Mar 02 1992 Freescale Semiconductor, Inc Semiconductor memory device and method of formation
5312771, Mar 24 1990 Canon Kabushiki Kaisha Optical annealing method for semiconductor layer and method for producing semiconductor device employing the same semiconductor layer
5317236, Dec 31 1990 KOPIN CORPORATION A CORP OF DELAWARE Single crystal silicon arrayed devices for display panels
5324980, Sep 22 1989 Mitsubishi Denki Kabushiki Kaisha Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing method thereof
5355022, Sep 10 1991 Acacia Research Group LLC Stacked-type semiconductor device
5371037, Aug 03 1990 Canon Kabushiki Kaisha Semiconductor member and process for preparing semiconductor member
5374564, Sep 18 1991 Commissariat a l'Energie Atomique Process for the production of thin semiconductor material films
5374581, Jul 31 1991 Canon Kabushiki Kaisha Method for preparing semiconductor member
5424560, May 31 1994 UNIVERSAL DISPLAY CORPORATION Integrated multicolor organic led array
5475280, Mar 04 1992 ALLIGATOR HOLDINGS, INC Vertical microelectronic field emission devices
5478762, Mar 16 1995 Taiwan Semiconductor Manufacturing Company Method for producing patterning alignment marks in oxide
5485031, Nov 22 1993 Actel Corporation Antifuse structure suitable for VLSI application
5498978, May 07 1993 Kabushiki Kaisha Toshiba Field programmable gate array
5527423, Oct 06 1994 Cabot Microelectronics Corporation Chemical mechanical polishing slurry for metal layers
5535342, Nov 05 1992 Giga Operations Corporation Pld connector for module having configuration of either first PLD or second PLD and reconfigurable bus for communication of two different bus protocols
5554870, Feb 04 1994 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
5563084, Sep 22 1994 Acacia Research Group LLC Method of making a three-dimensional integrated circuit
5583349, Nov 02 1995 UNIVERSAL DISPLAY CORPORATION Full color light emitting diode display
5583350, Nov 02 1995 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Full color light emitting diode display assembly
5586291, Dec 23 1994 SWAN, CHARLES A Disk controller with volatile and non-volatile cache memories
5594563, May 31 1994 Honeywell Inc. High resolution subtractive color projection system
5604137, Sep 25 1991 Semiconductor Energy Laboratory Co., Ltd. Method for forming a multilayer integrated circuit
5617991, Dec 01 1995 GLOBALFOUNDRIES Inc Method for electrically conductive metal-to-metal bonding
5627106, May 06 1994 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
5656548, Sep 30 1993 ALANZOR RESEARCH A B LLC Method for forming three dimensional processor using transferred thin film circuits
5656553, Aug 22 1994 International Business Machines Corporation Method for forming a monolithic electronic module by dicing wafer stacks
5659194, Jan 28 1994 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having metal silicide film
5670411, Jan 31 1992 Canon Kabushiki Kaisha Process of making semiconductor-on-insulator substrate
5681756, May 31 1994 UNIVERSAL DISPLAY CORPORATION Method of fabricating an integrated multicolor organic led array
5695557, Dec 28 1993 Canon Kabushiki Kaisha Process for producing a semiconductor substrate
5701027, Apr 26 1991 QuickLogic Corporation Programmable interconnect structures and programmable integrated circuits
5707745, Dec 13 1994 The Trustees of Princeton University Multicolor organic light emitting devices
5714395, Sep 13 1995 MAX-PLANCK GESELLSCHAFT ZUR FOERDERUNG DER WISSENSCHAFTEN E V Process for the manufacture of thin films of semiconductor material
5721160, Dec 13 1994 The Trustees of Princeton University Multicolor organic light emitting devices
5737748, Mar 15 1995 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
5739552, Oct 24 1994 Mitsubishi Denki Kabushiki Kaishi Semiconductor light emitting diode producing visible light
5744979, Jul 23 1992 XILINX, Inc. FPGA having logic cells configured by SRAM memory cells and interconnect configured by antifuses
5748161, Mar 04 1996 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Integrated electro-optical package with independent menu bar
5757026, Dec 13 1994 The Trustees of Princeton University Multicolor organic light emitting devices
5770483, Oct 08 1996 Advanced Micro Devices, Inc. Multi-level transistor fabrication method with high performance drain-to-gate connection
5770881, Sep 12 1996 International Business Machines Coproration SOI FET design to reduce transient bipolar current
5781031, Nov 21 1995 International Business Machines Corporation Programmable logic array
5817574, Dec 29 1993 Intel Corporation Method of forming a high surface area interconnection structure
5829026, Nov 22 1994 Invensas Corporation Method and structure for implementing a cache memory using a DRAM array
5835396, Oct 17 1996 Three-dimensional read-only memory
5854123, Oct 06 1995 Canon Kabushiki Kaisha Method for producing semiconductor substrate
5861929, Dec 31 1990 Kopin Corporation Active matrix color display with multiple cells and connection through substrate
5877034, Sep 22 1994 Acacia Research Group LLC Method of making a three-dimensional integrated circuit
5877070, May 31 1997 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
5882987, Aug 26 1997 S O I TEC SILICON ON INSULATOR TECHNOLOGIES Smart-cut process for the production of thin semiconductor material films
5883525, Apr 01 1994 XILINX, Inc. FPGA architecture with repeatable titles including routing matrices and logic matrices
5889903, Dec 31 1996 Intel Corporation Method and apparatus for distributing an optical clock in an integrated circuit
5893721, Mar 24 1997 UNIVERSAL DISPLAY CORPORATION Method of manufacture of active matrix LED array
5915167, Apr 04 1997 ELM 3DS INNOVATONS, LLC Three dimensional structure memory
5920788, Jun 07 1995 Round Rock Research, LLC Chalcogenide memory cell with a plurality of chalcogenide electrodes
5937312, Mar 23 1995 SIBOND L L C Single-etch stop process for the manufacture of silicon-on-insulator wafers
5943574, Feb 23 1998 Freescale Semiconductor, Inc Method of fabricating 3D multilayer semiconductor circuits
5952680, Oct 11 1994 International Business Machines Corporation Monolithic array of light emitting diodes for the generation of light at multiple wavelengths and its use for multicolor display applications
5952681, Nov 24 1997 Solidlite Corporation Light emitting diode emitting red, green and blue light
5965875, Apr 24 1998 FOVEON, INC Color separation in an active pixel cell imaging array using a triple-well structure
5977579, Dec 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Trench dram cell with vertical device and buried word lines
5977961, Jun 19 1996 Oracle America, Inc Method and apparatus for amplitude band enabled addressing arrayed elements
5980633, Dec 28 1993 Canon Kabushiki Kaisha Process for producing a semiconductor substrate
5985742, Feb 19 1998 PANKOVE, JACQUES I Controlled cleavage process and device for patterned films
5994746, Jan 15 1998 Polaris Innovations Limited Memory cell configuration and method for its fabrication
5998808, Jun 27 1997 Sony Corporation Three-dimensional integrated circuit device and its manufacturing method
6001693, Oct 06 1994 MICROSEMI SOC CORP Method of making a metal to metal antifuse
6009496, Dec 30 1997 Winbond Electronics Corp. Microcontroller with programmable embedded flash memory
6020252, May 15 1996 Commissariat a l'Energie Atomique Method of producing a thin layer of semiconductor material
6020263, Oct 31 1996 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
6027958, Jul 11 1996 CALLAHAN CELLULAR L L C Transferred flexible integrated circuit
6030700, Dec 13 1994 The Trustees of Princeton University Organic light emitting devices
6052498, Dec 19 1997 Intel Corporation Method and apparatus providing an optical input/output bus through the back side of an integrated circuit die
6054370, Jun 30 1998 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
6057212, May 04 1998 International Business Machines Corporation; IBM Corporation Method for making bonded metal back-plane substrates
6071795, Jan 23 1998 UNIVERSITY OF CALIFORNIA, THE REGENTS OF, THE Separation of thin films from transparent substrates by selective optical processing
6075268, Nov 07 1996 Advanced Micro Devices, Inc. Ultra high density inverter using a stacked transistor arrangement
6103597, Apr 11 1996 Commissariat a l'Energie Atomique Method of obtaining a thin film of semiconductor material
6111260, Jun 10 1997 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
6125217, Jun 26 1998 Intel Corporation Clock distribution network
6153495, Mar 09 1998 Fairchild Semiconductor Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
6191007, Apr 28 1997 Denso Corporation Method for manufacturing a semiconductor substrate
6200878, Dec 26 1997 Canon Kabushiki Kaisha SOI substrate processing method
6204529, Aug 27 1999 MACRONIX INTERNATIONAL CO , LTD 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
6222203, Jun 18 1996 Sony Corporation Selfluminous display device having light emission sources having substantially non-overlapping spectra levels
6226197, Oct 23 1998 Canon Kabushiki Kaisha Magnetic thin film memory, method of writing information in it, and me
6229161, Jun 05 1998 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
6242324, Aug 10 1999 NAVY, UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF, THE Method for fabricating singe crystal materials over CMOS devices
6242778, Sep 22 1998 International Business Machines Corporation Cooling method for silicon on insulator devices
6252465, Jun 25 1999 Renesas Electronics Corporation Data phase locked loop circuit
6259623, Jun 17 1999 Acacia Research Group LLC Static random access memory (SRAM) circuit
6261935, Dec 13 1999 Chartered Semiconductor Manufacturing Ltd. Method of forming contact to polysilicon gate for MOS devices
6264805, Dec 13 1994 The Trustees of Princeton University Method of fabricating transparent contacts for organic devices
6281102, Jan 13 2000 Integrated Device Technology, Inc. Cobalt silicide structure for improving gate oxide integrity and method for fabricating same
6294018, Sep 15 1999 AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE LIMITED Alignment techniques for epitaxial growth processes
6306705, Jul 03 1997 Round Rock Research, LLC Methods of forming capacitors, DRAM arrays, and monolithic integrated circuits
6321134, Jul 28 1998 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
6322903, Dec 06 1999 Invensas Corporation Package of integrated circuits and vertical integration
6331468, May 11 1998 Bell Semiconductor, LLC Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
6331790, Sep 11 2000 Intel Corporation Customizable and programmable cell array
6331943, Aug 28 2000 Everspin Technologies, Inc MTJ MRAM series-parallel architecture
6353492, Aug 27 1997 GOOGLE LLC Method of fabrication of a torsional micro-mechanical mirror system
6355501, Sep 21 2000 International Business Machines Corporation Three-dimensional chip stacking assembly
6355976, May 14 1992 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
6358631, Dec 13 1994 TRUSTEES OF PRINCETON UNIVERSITY, THE Mixed vapor deposited films for electroluminescent devices
6365270, Dec 13 1994 The Trustees of Princeton University Organic light emitting devices
6376337, Nov 10 1997 NANODYNAMICS, INC Epitaxial SiOx barrier/insulation layer
6377504, Dec 12 2000 Tachuon Semiconductor Corp High-density memory utilizing multiplexers to reduce bit line pitch constraints
6380046, Jun 22 1998 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
6392253, Aug 10 1998 Semiconductor device with single crystal films grown on arrayed nucleation sites on amorphous and/or non-single crystal surfaces
6404043, Jun 21 2000 M-RED INC Panel stacking of BGA devices to form three-dimensional modules
6417108, Feb 04 1998 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
6420215, Apr 28 2000 SanDisk Technologies LLC Three-dimensional memory array and method of fabrication
6423614, Jun 30 1998 Intel Corporation Method of delaminating a thin film using non-thermal techniques
6429481, Nov 14 1997 Semiconductor Components Industries, LLC Field effect transistor and method of its manufacture
6429484, Aug 07 2000 GLOBALFOUNDRIES Inc Multiple active layer structure and a method of making such a structure
6430734, Apr 15 1999 MAGMA DESIGN AUTOMATION, INC Method for determining bus line routing for components of an integrated circuit
6448615, Feb 26 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods, structures, and circuits for transistors with gate-to-body capacitive coupling
6475869, Feb 26 2001 GLOBALFOUNDRIES U S INC Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
6476493, Aug 10 1999 Intel Corporation Semiconductor device
6479821, Sep 11 2000 Veeco Instruments INC Thermally induced phase switch for laser thermal processing
6483707, Jun 07 2001 Henkel IP & Holding GmbH Heat sink and thermal interface having shielding to attenuate electromagnetic interference
6507115, Dec 14 2000 International Business Machines Corporation Multi-chip integrated circuit module
6515334, Jan 18 1997 Semiconductor Energy Laboratory Co., Ltd. Hybrid circuit and electronic device using same
6515511, Feb 17 2000 NEC Corporation Semiconductor integrated circuit and semiconductor integrated circuit device
6526559, Apr 13 2001 SRA INTERNATIONAL, INC Method for creating circuit redundancy in programmable logic devices
6528391, May 12 1997 Silicon Genesis, Corporation Controlled cleavage process and device for patterned films
6534352, Jun 21 2000 Hynix Semiconductor Inc. Method for fabricating a MOSFET device
6534382, Dec 18 1996 Canon Kabushiki Kaisha Process for producing semiconductor article
6544837, Mar 17 2000 International Business Machines Corporation SOI stacked DRAM logic
6545314, Nov 13 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Memory using insulator traps
6555901, Oct 04 1996 Denso Corporation Semiconductor device including eutectic bonding portion and method for manufacturing the same
6563139, Sep 11 2001 Package structure of full color LED form by overlap cascaded die bonding
6580124, Aug 14 2000 SanDisk Technologies LLC Multigate semiconductor device with vertical channel current and method of fabrication
6580289, Jun 08 2001 TRIAD SEMICONDUCTOR, INC Cell architecture to reduce customization in a semiconductor device
6600173, Aug 30 2000 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
6617694, Sep 08 2000 Seiko Epson Corporation Semiconductor chip, semiconductor device, methods of fabricating thereof, circuit board and electronic device
6620659, Dec 08 1997 GLOBALFOUNDRIES Inc Merged logic and memory combining thin film and bulk Si transistors
6624046, Sep 30 1993 ALANZOR RESEARCH A B LLC Three dimensional processor using transferred thin film circuits
6627518, Feb 27 1998 138 EAST LCD ADVANCEMENTS LIMITED Method for making three-dimensional device
6627985, Dec 05 2001 ARBOR GLOBAL STRATEGIES, LLC Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
6630713, Nov 10 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low temperature silicon wafer bond process with bulk material bond strength
6635552, Jun 12 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods of forming semiconductor constructions
6635588, Jun 12 2000 Veeco Instruments INC Method for laser thermal processing using thermally induced reflectivity switch
6638834, Jun 12 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods of forming semiconductor constructions
6642744, Mar 10 2000 Intel Corporation Customizable and programmable cell array
6653209, Sep 30 1999 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
6653712, Apr 28 2000 SanDisk Technologies LLC Three-dimensional memory array and method of fabrication
6661085, Feb 06 2002 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
6677204, Aug 14 2000 SanDisk Technologies LLC Multigate semiconductor device with vertical channel current and method of fabrication
6686253, Oct 28 1999 Intel Corporation Method for design and manufacture of semiconductors
6689660, Jul 08 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT 4 F2 folded bit line DRAM cell structure having buried bit and word lines
6701071, Jan 11 2000 Minolta Co., Ltd. Lens barrel with built-in blur correction mechanism
6703328, Jan 31 2001 NEC ELECTRRONICS CORPORATION; Renesas Electronics Corporation Semiconductor device manufacturing method
6720613, Jan 15 2003 Macronix International Co., Ltd. Method of fabricating multi-bit flash memory
6756633, Dec 27 2001 Silicon Storage Technology, Inc Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
6756811, Mar 10 2000 Intel Corporation Customizable and programmable cell array
6759282, Jun 12 2001 GLOBALFOUNDRIES U S INC Method and structure for buried circuits and devices
6762076, Feb 20 2002 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
6774010, Jan 25 2001 GLOBALFOUNDRIES U S INC Transferable device-containing layer for silicon-on-insulator applications
6805979, May 18 2001 Sharp Kabushiki Kaisha Transfer film and process for producing organic electroluminescent device using the same
6806171, Aug 24 2001 SILICON WAFER TECHNOLOGIES, INC Method of producing a thin layer of crystalline material
6809009, May 15 1996 Commissariat a l'Energie Atomique Method of producing a thin layer of semiconductor material
6815781, Sep 25 2001 SanDisk Technologies LLC Inverted staggered thin film transistor with salicided source/drain structures and method of making same
6819136, Mar 10 2000 Intel Corporation Customizable and programmable cell array
6821826, Sep 30 2003 GLOBALFOUNDRIES U S INC Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
6841813, Aug 13 2001 WODEN TECHNOLOGIES INC TFT mask ROM and method for making same
6844243, Jun 12 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods of forming semiconductor constructions
6864534, Oct 25 2000 Renesas Electronics Corporation; NEC Electronics Corporation Semiconductor wafer
6875671, Sep 12 2001 Reveo, Inc Method of fabricating vertical integrated circuits
6882572, Dec 27 2001 Silicon Storage Technology, Inc. Method of operating a semiconductor memory array of floating gate memory cells with horizontally oriented edges
6888375, Sep 02 2000 MICROSEMI SOC CORP Tileable field-programmable gate array architecture
6917219, Mar 12 2003 XILINX, Inc. Multi-chip programmable logic device having configurable logic circuitry and configuration data storage on different dice
6927431, Feb 28 2001 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor circuit constructions
6930511, Mar 10 2000 Intel Corporation Array of programmable cells with customized interconnections
6943067, Jan 08 2002 Advanced Micro Devices, Inc. Three-dimensional integrated semiconductor devices
6943407, Jun 17 2003 GLOBALFOUNDRIES Inc Low leakage heterojunction vertical transistors and high performance devices thereof
6949421, Nov 06 2002 National Semiconductor Corporation Method of forming a vertical MOS transistor
6953956, Dec 18 2002 Intel Corporation Semiconductor device having borderless logic array and flexible I/O
6967149, Nov 20 2003 Hewlett-Packard Development Company, L.P. Storage structure with cleaved layer
6985012, Mar 10 2000 Intel Corporation Customizable and programmable cell array
6989687, Mar 10 2000 Intel Corporation Customizable and programmable cell array
6995430, Jun 07 2002 Taiwan Semiconductor Manufacturing Company, Ltd Strained-semiconductor-on-insulator device structures
6995456, Mar 12 2004 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
7015719, Sep 02 2000 MICROSEMI SOC CORP Tileable field-programmable gate array architecture
7016569, Jul 31 2002 Georgia Tech Research Corporation Back-side-of-die, through-wafer guided-wave optical clock distribution networks, method of fabrication thereof, and uses thereof
7018875, Jul 08 2002 LIBERTY PATENTS LLC Insulated-gate field-effect thin film transistors
7019557, Dec 24 2003 CALLAHAN CELLULAR L L C ; YAKIMISHU CO LTD , LLC Look-up table based logic macro-cells
7043106, Jul 22 2002 Applied Materials, Inc.; Applied Materials, Inc Optical ready wafers
7052941, Jun 24 2003 BESANG, INC Method for making a three-dimensional integrated circuit structure
7064579, Jul 08 2002 LIBERTY PATENTS LLC Alterable application specific integrated circuit (ASIC)
7067396, May 15 1996 Commissariat a l'Energie Atomique Method of producing a thin layer of semiconductor material
7067909, Dec 31 2002 Massachusetts Institute of Technology Multi-layer integrated semiconductor structure having an electrical shielding portion
7068070, Mar 10 2000 Intel Corporation Customizable and programmable cell array
7068072, Jun 30 2003 XILINX, Inc. Integrated circuit with interface tile for coupling to a stacked-die second integrated circuit
7078739, Nov 12 2003 T-RAM ASSIGNMENT FOR THE BENEFIT OF CREDITORS , LLC Thyristor-based memory and its method of operation
7091551, Apr 13 2005 GLOBALFOUNDRIES U S INC Four-bit FinFET NVRAM memory device
7094667, Dec 28 2000 EPIR TECHNOLOGIES, INC Smooth thin film layers produced by low temperature hydrogen ion cut
7098691, Jul 27 2004 TAHOE RESEARCH, LTD Structured integrated circuit device
7105390, Dec 30 2003 TAHOE RESEARCH, LTD Nonplanar transistors with metal gate electrodes
7105871, Dec 18 2002 Intel Corporation Semiconductor device
7109092, May 19 2003 INVENSAS BONDING TECHNOLOGIES, INC Method of room temperature covalent bonding
7110629, Jul 22 2002 Applied Materials, Inc Optical ready substrates
7111149, Jul 07 2003 Intel Corporation Method and apparatus for generating a device ID for stacked devices
7112815, Feb 25 2004 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Multi-layer memory arrays
7115945, Jun 23 2003 Microsoft Technology Licensing, LLC Strained silicon fin structure
7115966, Oct 29 2002 Renesas Electronics Corporation Semiconductor device
7141853, Jun 12 2001 GLOBALFOUNDRIES Inc Method and structure for buried circuits and devices
7148119, Mar 10 1994 Canon Kabushiki Kaisha Process for production of semiconductor substrate
7157787, Feb 20 2002 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
7157937, Jul 27 2004 TAHOE RESEARCH, LTD Structured integrated circuit device
7166520, Aug 08 2005 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
7170807, Apr 18 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Data storage device and refreshing method for use with such device
7173369, Dec 13 1994 The Trustees of Princeton University Transparent contacts for organic devices
7180091, Aug 01 2001 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Semiconductor device and manufacturing method thereof
7180379, May 03 2004 National Semiconductor Corporation Laser powered clock circuit with a substantially reduced clock skew
7183611, Jun 03 2003 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
7189489, Jun 11 2001 Ciba Corporation Oxime ester photoiniators having a combined structure
7205204, Oct 22 2003 Sharp Kabushiki Kaisha Semiconductor device and fabrication method for the same
7209384, Dec 08 2005 Planar capacitor memory cell and its applications
7217636, Feb 09 2005 IQE plc Semiconductor-on-insulator silicon wafer
7223612, Jul 26 2004 Polaris Innovations Limited Alignment of MTJ stack to conductive lines in the absence of topography
7242012, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Lithography device for semiconductor circuit pattern generator
7245002, Feb 04 1998 Canon Kabushiki Kaisha Semiconductor substrate having a stepped profile
7256104, May 21 2003 Canon Kabushiki Kaisha Substrate manufacturing method and substrate processing apparatus
7259091, Jul 30 2004 Advanced Micro Devices, Inc. Technique for forming a passivation layer prior to depositing a barrier layer in a copper metallization layer
7265421, Jul 08 2002 LIBERTY PATENTS LLC Insulated-gate field-effect thin film transistors
7271420, Jul 07 2004 EPISTAR CORPORATION Monolitholic LED chip to emit multiple colors
7274207, Apr 03 2002 Sony Corporation Semiconductor-integrated circuit utilizing magnetoresistive effect elements
7282951, Dec 05 2001 ARBOR GLOBAL STRATEGIES, LLC Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
7284226, Oct 01 2004 XILINX, Inc. Methods and structures of providing modular integrated circuits
7296201, Oct 29 2005 DAFCA, Inc. Method to locate logic errors and defects in digital circuits
7304355, Apr 08 2002 Three-dimensional-memory-based self-test integrated circuits and methods
7312109, Jul 08 2002 LIBERTY PATENTS LLC Methods for fabricating fuse programmable three dimensional integrated circuits
7312487, Aug 16 2004 GLOBALFOUNDRIES U S INC Three dimensional integrated circuit
7314788, Sep 04 2003 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell back bias architecture
7335573, Nov 30 2001 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Vehicle, display device and manufacturing method for a semiconductor device
7337425, Jun 04 2004 DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT Structured ASIC device with configurable die size and selectable embedded functions
7338884, Sep 03 2001 NEC Corporation Interconnecting substrate for carrying semiconductor device, method of producing thereof and package of semiconductor device
7339821, Dec 14 2005 Schiltron Corporation Dual-gate nonvolatile memory and method of program inhibition
7342415, Nov 08 2004 Altera Corporation Configurable IC with interconnect circuits that also perform storage operations
7351644, Aug 08 2005 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
7358601, Sep 29 2004 MICROSEMI SOC CORP Architecture for face-to-face bonding between substrate and multiple daughter chips
7362133, Jul 08 2002 LIBERTY PATENTS LLC Three dimensional integrated circuits
7369435, Jun 21 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Write once read only memory employing floating gates
7371660, May 12 1997 Silicon Genesis Corporation Controlled cleaving process
7378702, Jun 21 2004 BESANG, INC Vertical memory device structures
7381989, Mar 07 2005 Samsung Electronics Co., Ltd. Semiconductor device including upper and lower transistors and interconnection between upper and lower transistors
7385283, Jun 27 2006 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
7393722, Oct 02 2001 MICROSEMI SOC CORP Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material
7402483, Jul 30 2004 Samsung Electronics Co., Ltd. Methods of forming a multi-bridge-channel MOSFET
7402897, Aug 08 2003 Elm Technology Corporation Vertical system integration
7419844, Mar 17 2006 Sharp Kabushiki Kaisha Real-time CMOS imager having stacked photodiodes fabricated on SOI wafer
7432185, Apr 18 2005 Samsung Electronics Co., Ltd. Method of forming semiconductor device having stacked transistors
7436027, Oct 22 2003 Sharp Kabushiki Kaisha Semiconductor device and fabrication method for the same
7439773, Oct 11 2005 TAHOE RESEARCH, LTD Integrated circuit communication techniques
7446563, Jul 08 2002 LIBERTY PATENTS LLC Three dimensional integrated circuits
7459752, Jun 30 2004 GLOBALFOUNDRIES U S INC Ultra thin body fully-depleted SOI MOSFETs
7459763, Oct 02 2001 MICROSEMI SOC CORP Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material
7459772, Sep 29 2004 MICROSEMI SOC CORP Face-to-face bonded I/O circuit die and functional logic circuit die system
7463062, Jul 27 2004 TAHOE RESEARCH, LTD Structured integrated circuit device
7463502, Jun 03 2003 Western Digital Technologies, INC Ultra low-cost solid-state memory
7470142, Jun 21 2004 BESANG, INC Wafer bonding method
7470598, Jun 21 2004 BESANG, INC Semiconductor layer structure and method of making the same
7476939, Nov 04 2004 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Memory cell having an electrically floating body transistor and programming technique therefor
7477540, Dec 22 2004 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Bipolar reading technique for a memory cell having an electrically floating body transistor
7485536, Dec 30 2005 TAHOE RESEARCH, LTD Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
7485968, Aug 11 2005 INVENSAS BONDING TECHNOLOGIES, INC 3D IC method and device
7486563, Dec 13 2004 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Sense amplifier circuitry and architecture to write data into and/or read from memory cells
7488980, Sep 18 2003 Sharp Kabushiki Kaisha Thin film semiconductor device and fabrication method therefor
7492632, Apr 07 2006 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Memory array having a programmable word length, and method of operating same
7495473, Dec 29 2004 MICROSEMI SOC CORP Non-volatile look-up table for an FPGA
7498675, Mar 31 2003 Round Rock Research, LLC Semiconductor component having plate, stacked dice and conductive vias
7499352, May 19 2006 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Integrated circuit having memory array including row redundancy, and method of programming, controlling and/or operating same
7499358, Sep 19 2005 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method and circuitry to generate a reference current for reading a memory cell, and device implementing same
7508034, Sep 25 2002 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
7514748, Apr 18 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor device
7521806, Jun 14 2005 CUFER ASSET LTD L L C Chip spanning connection
7525186, Sep 30 2006 Hynix Semiconductor Inc. Stack package having guard ring which insulates through-via interconnection plug and method for manufacturing the same
7535089, Nov 01 2005 Massachusetts Institute of Technology Monolithically integrated light emitting devices
7541616, Jun 18 2001 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor device
7547589, May 15 2003 Seiko Epson Corporation Method for fabricating semiconductor device, and electro-optical device, integrated circuit and electronic apparatus including the semiconductor device
7553745, Jan 27 2005 Infineon Technologies AG Integrated circuit package, panel and methods of manufacturing the same
7557367, Jun 04 2004 The Board of Trustees of the University of Illinois Stretchable semiconductor elements and stretchable electrical circuits
7558141, Jan 05 2007 Kioxia Corporation Memory system, semiconductor memory device and method of driving same
7563659, Dec 06 2003 Samsung Electronics Co., Ltd.; SAMSUNG ELECTRONICS CO , LTD Method of fabricating poly-crystalline silicon thin film and method of fabricating transistor using the same
7566855, Aug 25 2005 Intellectual Ventures II LLC Digital camera with integrated infrared (IR) response
7566974, Sep 29 2004 WODEN TECHNOLOGIES INC Doped polysilicon via connecting polysilicon layers
7586778, Oct 24 2006 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
7589375, Mar 22 2005 Samsung Electronics Co., Ltd. Non-volatile memory devices including etching protection layers and methods of forming the same
7608848, May 09 2006 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
7612411, Aug 03 2005 Schiltron Corporation Dual-gate device and method
7615462, Feb 20 2002 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
7622367, Jun 04 2004 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
7629640, May 03 2004 The Regents of the University of California Two bit/four bit SONOS flash memory cell
7632738, Jun 24 2003 BESANG, INC Wafer bonding method
7633162, Jun 21 2004 BESANG, INC Electronic circuit with embedded memory
7638836, May 15 2007 Schiltron Corporation Nonvolatile memory with backplate
7666723, Feb 22 2007 GLOBALFOUNDRIES Inc Methods of forming wiring to transistor and related transistor
7670912, May 15 2003 Samsung Electronics Co., Ltd. Methods of fabricating multichannel metal oxide semiconductor (MOS) transistors
7671371, Jun 21 2004 BESANG, INC Semiconductor layer structure and method of making the same
7671460, Jan 25 2006 TELEDYNE SCIENTIFIC & IMAGING, LLC Buried via technology for three dimensional integrated circuits
7674687, Jul 27 2005 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
7687372, Apr 08 2005 Versatilis LLC System and method for manufacturing thick and thin film devices using a donee layer cleaved from a crystalline donor
7687872, Jul 24 2006 STMICROELECTRONICS S A ; COMMISSARIAT A L ENERGIE ATOMIQUE; STMicroelectronics Crolles 2 SAS Back-lit image sensor with a uniform substrate temperature
7688619, Nov 28 2005 MACRONIX INTERNATIONAL CO , LTD Phase change memory cell and manufacturing method
7692202, Jan 29 2004 Azur Space Solar Power GmbH Semiconductor structure comprising active zones
7692448, Sep 12 2007 Reprogrammable three dimensional field programmable gate arrays
7692944, Mar 31 2006 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
7697316, Dec 07 2006 Macronix International Co., Ltd. Multi-level cell resistance random access memory with metal oxides
7709932, Jul 01 2003 Kioxia Corporation Semiconductor wafer having a separation portion on a peripheral area
7718508, Jun 21 2004 BESANG, INC Semiconductor bonding and layer transfer method
7719876, Jul 31 2008 Unity Semiconductor Corporation Preservation circuit and methods to maintain values representing data in one or more layers of memory
7723207, Aug 16 2004 GLOBALFOUNDRIES Inc Three dimensional integrated circuit and method of design
7728326, Jun 20 2001 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus
7732301, Apr 20 2007 Koninklijke Philips Electronics N V Bonded intermediate substrate and method of making same
7741673, Dec 13 2006 Samsung Electronics Co., Ltd. Floating body memory and method of fabricating the same
7742331, Mar 29 2007 Kioxia Corporation Nonvolatile semiconductor memory device and data erase/write method thereof
7745250, Dec 27 2006 INTELLECTUAL DISCOVERY CO , LTD Image sensor and method for manufacturing the same
7749884, May 06 2008 APPLIED NOVEL DEVICES, INC Method of forming an electronic device using a separation-enhancing species
7750669, Jan 06 2005 Reprogrammable integrated circuit
7755622, Dec 16 2005 Siemens Industry Software Inc Flattening a three-dimensional wire harness representation to two dimensions
7759043, Aug 18 2004 Ciba Specialty Chemicals Corp Oxime ester photoinitiators
7768115, Jan 26 2006 Samsung Electronics Co., Ltd. Stack chip and stack chip package having the same
7772039, Jun 04 2004 Infineon Technologies AG Procedure for arranging chips of a first substrate on a second substrate
7772096, Jul 10 2008 GLOBALFOUNDRIES Inc Formation of SOI by oxidation of silicon with engineered porosity gradient
7774735, Mar 07 2007 Cadence Design Systems, INC Integrated circuit netlist migration
7776715, Jul 26 2005 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Reverse construction memory cell
7777269, Oct 10 2006 SCHILTRON CORP Dual-gate device
7777330, Feb 05 2008 SHENZHEN XINGUODU TECHNOLOGY CO , LTD High bandwidth cache-to-processing unit communication in a multiple processor/cache system
7786460, Nov 15 2005 Macronix International Co., Ltd.; MACRONIX INTERNATIONAL CO , LTD Phase change memory device and manufacturing method
7786535, Jan 11 2008 GLOBALFOUNDRIES Inc Design structures for high-voltage integrated circuits
7790524, Jan 11 2008 International Business Machines Corporation; International Buisiness Machines Corporation Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures
7795619, Jan 31 2005 Fujitsu Semiconductor Limited Semiconductor device
7799675, Jun 24 2003 BESANG INC Bonded semiconductor structure and method of fabricating the same
7800099, Oct 01 2001 Semiconductor Energy Laboratory Co., Ltd. Light emitting device, electronic equipment, and organic polarizing film
7800148, Mar 17 2006 Sharp Kabushiki Kaisha CMOS active pixel sensor
7800163, Oct 05 2007 Kioxia Corporation Non-volatile semiconductor storage device
7800199, Jun 24 2003 BESANG, INC Semiconductor circuit
7816721, Nov 11 2004 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Transmission/reception semiconductor device with memory element and antenna on same side of conductive adhesive
7843718, Jul 26 2007 Samsung Electronics Co., Ltd. Non-volatile memory devices including stacked NAND-type resistive memory cell strings and methods of fabricating the same
7846814, Jun 21 2004 BESANG, INC Semiconductor layer structure and method of making the same
7851844, Jan 14 2008 Infineon Technologies AG Memory device having cross-shaped semiconductor fin structure
7863095, Jun 30 2008 Headway Technologies, Inc.; TDK Corporation Method of manufacturing layered chip package
7864568, Dec 07 2006 NEC ELECTRRONICS CORPORATION; Renesas Electronics Corporation Semiconductor storage device
7867822, Jun 24 2003 BESANG, INC Semiconductor memory device
7888764, Jun 24 2003 BESANG, INC Three-dimensional integrated circuit structure
7910432, Mar 17 2008 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
7915164, Sep 29 2004 WODEN TECHNOLOGIES INC Method for forming doped polysilicon via connecting polysilicon layers
7919845, Dec 20 2007 XILINX, Inc. Formation of a hybrid integrated circuit device
7965102, Oct 02 2006 XILINX, Inc. Formation of columnar application specific circuitry using a columnar programmable device
7968965, Dec 21 2007 Invensas Corporation Semiconductor device and method for fabricating the same
7969193, Jul 06 2010 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
7973314, May 14 2007 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
7982250, Sep 21 2007 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
7983065, Apr 08 2009 SanDisk Technologies LLC Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
8008732, Sep 21 2006 Kioxia Corporation Semiconductor memory and method of manufacturing the same
8013399, Jun 02 2008 COMMISSARIAT A L ENERGIE ATOMIQUE SRAM memory cell having transistors integrated at several levels and the threshold voltage VT of which is dynamically adjustable
8014166, Sep 06 2008 BroadPak Corporation Stacking integrated circuits containing serializer and deserializer blocks using through silicon via
8014195, Feb 06 2008 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Single transistor memory cell
8022493, Sep 27 2007 Dongbu Hitek Co., Ltd. Image sensor and manufacturing method thereof
8030780, Oct 16 2008 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
8031544, Jan 15 2008 Samsung Electronics Co., Ltd. Semiconductor memory device with three-dimensional array and repair method thereof
8032857, Jul 26 2005 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
8044448, Jul 25 2008 Kioxia Corporation Nonvolatile semiconductor memory device
8044464, Sep 21 2007 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
8068364, Apr 23 2008 Kioxia Corporation Three dimensional stacked nonvolatile semiconductor memory
8106520, Sep 11 2008 LODESTAR LICENSING GROUP, LLC Signal delivery in stacked device
8107276, Dec 04 2009 GLOBALFOUNDRIES U S INC Resistive memory devices having a not-and (NAND) structure
8129256, Aug 19 2008 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD 3D integrated circuit device fabrication with precisely controllable substrate removal
8129258, Dec 23 2009 Xerox Corporation Method for dicing a semiconductor wafer, a chip diced from a semiconductor wafer, and an array of chips diced from a semiconductor wafer
8130547, Nov 29 2007 Zeno Semiconductor, Inc Method of maintaining the state of semiconductor memory having electrically floating body transistor
8136071, Sep 12 2007 Three dimensional integrated circuits and methods of fabrication
8138502, Aug 05 2005 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and manufacturing method thereof
8153520, Aug 03 2009 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
8158515, Feb 03 2009 GLOBALFOUNDRIES U S INC Method of making 3D integrated circuits
8178919, Jul 21 2009 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
8183630, Jun 02 2008 Commissariat a l'Energie Atomique Circuit with transistors integrated in three dimensions and having a dynamically adjustable threshold voltage VT
8184463, Dec 18 2008 Hitachi, Ltd. Semiconductor apparatus
8185685, Dec 14 2007 Western Digital Technologies, INC NAND flash module replacement for DRAM module
8203187, Mar 03 2009 MACRONIX INTERNATIONAL CO , LTD 3D memory array arranged for FN tunneling program and erase
8208279, Mar 03 2009 Macronix International Co., Ltd. Integrated circuit self aligned 3D memory array and manufacturing method
8209649, Jul 26 2005 R3 Logic, Inc Methods and systems for computer aided design of 3D integrated circuits
8228684, May 08 2007 STMICROELECTRONICS S R L Multi chip electronic system
8264065, Oct 23 2009 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
8266560, Jul 26 2005 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
8288816, Mar 05 2010 TOSHIBA MEMORY CORPORATION Semiconductor memory device and method for manufacturing same
8294199, Jul 22 2010 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing the same
8324680, Oct 05 2007 Kioxia Corporation Non-volatile semiconductor storage device with laminated vertical memory cell and select transistors
8338882, Mar 10 2010 Kioxia Corporation Semiconductor memory device and method for manufacturing same
8343851, Sep 18 2008 Samsung Electronics Co., Ltd. Wafer temporary bonding method using silicon direct bonding
8354308, Aug 30 2010 Samsung Electronics Co., Ltd.; SAMSUNG ELECTRONICS CO , LTD Conductive layer buried-type substrate, method of forming the conductive layer buried-type substrate, and method of fabricating semiconductor device using the conductive layer buried-type substrate
8355273, Sep 19 2007 OVONYX MEMORY TECHNOLOGY, LLC Variable-resistance material memories, processes of forming same, and methods of using same
8374033, Jul 06 2009 Kioxia Corporation Nonvolatile semiconductor memory device
8426294, Mar 03 2009 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
8432719, Jan 18 2011 Macronix International Co., Ltd. Three-dimensional stacked and-type flash memory structure and methods of manufacturing and operating the same hydride
8432751, Dec 22 2010 INTEL NDTM US LLC Memory cell using BTI effects in high-k metal gate MOS
8455941, Jun 22 2010 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing the same
8470689, Nov 10 2010 STMICROELECTRONICS FRANCE Method for forming a multilayer structure
8497512, Aug 05 2005 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and manufacturing method thereof
8501564, Dec 04 2009 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element, semiconductor device, and method for manufacturing the same
8507972, Jun 25 2009 Kioxia Corporation Nonvolatile semiconductor memory device
8508994, Apr 30 2009 OVONYX MEMORY TECHNOLOGY, LLC Semiconductor device with floating gate and electrically floating body
8513725, Sep 21 2010 Kioxia Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
8514623, Nov 29 2007 Zeno Semiconductor, Inc Method of maintaining the state of semiconductor memory having electrically floating body transistor
8516408, May 26 2009 AVAGO TECHNOLOGIES GENERAL IP SINGAPORE PTE LTD Optimization of circuits having repeatable circuit instances
8525342, Apr 12 2010 Qualcomm Incorporated Dual-side interconnected CMOS for stacked integrated circuits
8546956, Nov 03 2011 GLOBALFOUNDRIES U S INC Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
8566762, Mar 09 2011 Panasonic Corporation Three-dimensional integrated circuit design device, three-dimensional integrated circuit design, method, and program
8603888, Sep 19 2007 OVONYX MEMORY TECHNOLOGY, LLC Variable-resistance material memories, processes of forming same, and methods of using same
8611388, Oct 13 2009 SKORPIOS TECHNOLOGIES, INC Method and system for heterogeneous substrate bonding of waveguide receivers
8619490, Jun 10 2010 Samsung Electronics Co., Ltd. Semiconductor memory devices
8630326, Oct 13 2009 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
8643162, Nov 19 2007 LIBERTY PATENTS LLC Pads and pin-outs in three dimensional integrated circuits
8650516, Jul 26 2005 Methods and systems for computer aided design of 3D integrated circuits
8654584, May 25 2010 Samsung Electronics Co., Ltd. Three-dimensional non-volatile memory devices having highly integrated string selection and sense amplifier circuits therein
8679861, Nov 29 2007 GLOBALFOUNDRIES Inc Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
8736068, Oct 26 2010 Tessera, Inc Hybrid bonding techniques for multi-layer semiconductor stacks
8773562, Jan 31 2013 Apple Inc.; Apple Inc Vertically stacked image sensor
8775998, Dec 09 2010 Panasonic Corporation Support device of three-dimensional integrated circuit and method thereof
8796777, Sep 02 2009 Qualcomm Incorporated Fin-type device system and method
8824183, Dec 14 2010 SanDisk Technologies LLC Non-volatile memory having 3D array of read/write elements with vertical bit lines and select devices and methods thereof
8841777, Jan 12 2010 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
8853785, Sep 07 2009 Commissariat a l Energie Atomique et aux Energies Alternatives Integrated circuit with electrostatically coupled MOS transistors and method for producing such an integrated circuit
8896054, Sep 21 2010 Kioxia Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
8928119, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure memory
8971114, Feb 09 2011 Samsung Electronics Co., Ltd. Nonvolatile memory devices and driving methods thereof
9105689, Mar 24 2014 Qualcomm Incorporated Bonded semiconductor structure with SiGeC layer as etch stop
9172008, Jan 24 2013 Samsung Electronics Co., Ltd. Semiconductor light emitting device
9227456, Dec 14 2010 SanDisk Technologies LLC Memories with cylindrical read/write stacks
9230973, Sep 17 2013 SanDisk Technologies LLC Methods of fabricating a three-dimensional non-volatile memory device
9269608, Mar 24 2014 Qualcomm Incorporated Bonded semiconductor structure with SiGeC/SiGeBC layer as etch stop
9334582, Feb 17 2014 Samsung Electronics Co., Ltd. Apparatus for evaluating quality of crystal, and method and apparatus for manufacturing semiconductor light-emitting device including the apparatus
9391090, Aug 21 2014 Samsung Electronics Co., Ltd. Integrated circuit device including polycrystalline semiconductor film and method of manufacturing the same
9472568, Oct 10 2013 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
9564450, Sep 21 2010 Kioxia Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
9570683, Jun 30 2011 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
9589982, Sep 15 2015 Macronix International Co., Ltd. Structure and method of operation for improved gate capacity for 3D NOR flash memory
9595530, Jul 07 2016 SanDisk Technologies LLC Methods and apparatus for vertical bit line structures in three-dimensional nonvolatile memory
9627287, Oct 18 2013 Infineon Technologies AG Thinning in package using separation structure as stop
9673257, Jun 03 2016 SanDisk Technologies LLC Vertical thin film transistors with surround gates
9997530, Jun 23 2014 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method of fabricating the same
20010000005,
20010014391,
20010028059,
20020024140,
20020025604,
20020074668,
20020081823,
20020090758,
20020096681,
20020113289,
20020132465,
20020140091,
20020141233,
20020153243,
20020153569,
20020175401,
20020180069,
20020190232,
20020199110,
20030015713,
20030032262,
20030059999,
20030060034,
20030061555,
20030067043,
20030076706,
20030102079,
20030107117,
20030113963,
20030119279,
20030139011,
20030153163,
20030157748,
20030160888,
20030173631,
20030206036,
20030213967,
20030224582,
20030224596,
20040007376,
20040014299,
20040033676,
20040036126,
20040047539,
20040061176,
20040113207,
20040143797,
20040150068,
20040150070,
20040152272,
20040155301,
20040156172,
20040156233,
20040164425,
20040166649,
20040174732,
20040175902,
20040178819,
20040195572,
20040219765,
20040229444,
20040259312,
20040262635,
20040262772,
20050003592,
20050010725,
20050023656,
20050045919,
20050067620,
20050067625,
20050073060,
20050082526,
20050098822,
20050110041,
20050121676,
20050121789,
20050130351,
20050130429,
20050148137,
20050176174,
20050218521,
20050225237,
20050266659,
20050273749,
20050280061,
20050280090,
20050280154,
20050280155,
20050280156,
20050282019,
20060014331,
20060024923,
20060033110,
20060033124,
20060043367,
20060049449,
20060065953,
20060067122,
20060071322,
20060071332,
20060083280,
20060108613,
20060108627,
20060113522,
20060118935,
20060121690,
20060150137,
20060158511,
20060170046,
20060179417,
20060181202,
20060189095,
20060194401,
20060195729,
20060207087,
20060224814,
20060237777,
20060249859,
20060275962,
20070004150,
20070008013,
20070014508,
20070035329,
20070063259,
20070072391,
20070076509,
20070077694,
20070077743,
20070090416,
20070102737,
20070103191,
20070108523,
20070109831,
20070111386,
20070111406,
20070132049,
20070132369,
20070135013,
20070141781,
20070158659,
20070158831,
20070176214,
20070187775,
20070190746,
20070194453,
20070206408,
20070210336,
20070211535,
20070215903,
20070218622,
20070228383,
20070252201,
20070252203,
20070262457,
20070275520,
20070281439,
20070283298,
20070287224,
20070296073,
20070297232,
20080001204,
20080003818,
20080030228,
20080032463,
20080038902,
20080048239,
20080048327,
20080054359,
20080067573,
20080070340,
20080072182,
20080099780,
20080099819,
20080108171,
20080123418,
20080124845,
20080128745,
20080128780,
20080135949,
20080136455,
20080142937,
20080142959,
20080143379,
20080150579,
20080160431,
20080160726,
20080165521,
20080175032,
20080179678,
20080180132,
20080185648,
20080191247,
20080191312,
20080194068,
20080203452,
20080213982,
20080220558,
20080220565,
20080224260,
20080237591,
20080239818,
20080242028,
20080248618,
20080251862,
20080254561,
20080254572,
20080254623,
20080261378,
20080266960,
20080272492,
20080277778,
20080283873,
20080283875,
20080284611,
20080296681,
20080315253,
20080315351,
20090001469,
20090001504,
20090016716,
20090026541,
20090026618,
20090032899,
20090032951,
20090039918,
20090052827,
20090055789,
20090057879,
20090061572,
20090064058,
20090065827,
20090066365,
20090066366,
20090070721,
20090070727,
20090078970,
20090079000,
20090080258,
20090081848,
20090087759,
20090096009,
20090096024,
20090108318,
20090115042,
20090128189,
20090134397,
20090144669,
20090144678,
20090146172,
20090159870,
20090160482,
20090161401,
20090162993,
20090166627,
20090174018,
20090179268,
20090185407,
20090194152,
20090194768,
20090194829,
20090194836,
20090204933,
20090212317,
20090218627,
20090221110,
20090224330,
20090224364,
20090230462,
20090234331,
20090236749,
20090242893,
20090242935,
20090250686,
20090262572,
20090262583,
20090263942,
20090267233,
20090268983,
20090272989,
20090290434,
20090294822,
20090294836,
20090294861,
20090294990,
20090302294,
20090302387,
20090302394,
20090309152,
20090315095,
20090317950,
20090321830,
20090321853,
20090321948,
20090325343,
20100001282,
20100013049,
20100025766,
20100025825,
20100031217,
20100032635,
20100038699,
20100038743,
20100045849,
20100052134,
20100058580,
20100059796,
20100059864,
20100078770,
20100081232,
20100089627,
20100090188,
20100112753,
20100112810,
20100117048,
20100123202,
20100123480,
20100133695,
20100133704,
20100137143,
20100139836,
20100140790,
20100155932,
20100157117,
20100159650,
20100181600,
20100190334,
20100193884,
20100193964,
20100219392,
20100221867,
20100224876,
20100224915,
20100225002,
20100232200,
20100252934,
20100264551,
20100276662,
20100289144,
20100297844,
20100307572,
20100308211,
20100308863,
20100320514,
20100320526,
20100330728,
20100330752,
20110001172,
20110003438,
20110024724,
20110026263,
20110027967,
20110037052,
20110042696,
20110049336,
20110050125,
20110053332,
20110101537,
20110102014,
20110111560,
20110115023,
20110128777,
20110134683,
20110143506,
20110147791,
20110147849,
20110159635,
20110170331,
20110204917,
20110221022,
20110222356,
20110227158,
20110241082,
20110284946,
20110284992,
20110286283,
20110304765,
20110309432,
20110314437,
20120001184,
20120003815,
20120013013,
20120025388,
20120032250,
20120034759,
20120063090,
20120074466,
20120086100,
20120126197,
20120146193,
20120161310,
20120169319,
20120178211,
20120181654,
20120182801,
20120187444,
20120193785,
20120241919,
20120286822,
20120304142,
20120317528,
20120319728,
20130026663,
20130037802,
20130049796,
20130070506,
20130082235,
20130097574,
20130100743,
20130128666,
20130187720,
20130193550,
20130196500,
20130203248,
20130207243,
20130263393,
20130337601,
20140015136,
20140030871,
20140035616,
20140048867,
20140099761,
20140103959,
20140117413,
20140120695,
20140131885,
20140137061,
20140145347,
20140146630,
20140149958,
20140151774,
20140191357,
20140225218,
20140225235,
20140252306,
20140253196,
20140264228,
20140357054,
20150021785,
20150034898,
20150243887,
20150255418,
20150279829,
20150340369,
20160049201,
20160086970,
20160104780,
20160133603,
20160141299,
20160141334,
20160307952,
20160343687,
20170069601,
20170092371,
20170098596,
20170148517,
20170179146,
20170221900,
20170278858,
20180090219,
20180090368,
20180108416,
20180294284,
20190006009,
20190043836,
20190067327,
20190157296,
20200020408,
20200020718,
20200051990,
20200105773,
20200203328,
20200227123,
20200243486,
20200328176,
EP1267594,
WO2008063483,
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Nov 09 2021HAN, JIN-WOOMonolithic 3D IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0580920524 pdf
Nov 10 2021OR-BACH, ZVIMonolithic 3D IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0580920524 pdf
Nov 11 2021MONOLITHIC 3D INC.(assignment on the face of the patent)
Date Maintenance Fee Events
Nov 11 2021BIG: Entity status set to Undiscounted (note the period is included in the code).
Mar 21 2022SMAL: Entity status set to Small.


Date Maintenance Schedule
Apr 05 20254 years fee payment window open
Oct 05 20256 months grace period start (w surcharge)
Apr 05 2026patent expiry (for year 4)
Apr 05 20282 years to revive unintentionally abandoned end. (for year 4)
Apr 05 20298 years fee payment window open
Oct 05 20296 months grace period start (w surcharge)
Apr 05 2030patent expiry (for year 8)
Apr 05 20322 years to revive unintentionally abandoned end. (for year 8)
Apr 05 203312 years fee payment window open
Oct 05 20336 months grace period start (w surcharge)
Apr 05 2034patent expiry (for year 12)
Apr 05 20362 years to revive unintentionally abandoned end. (for year 12)