A Three-Dimensional Structure (3DS) memory allows for physical separation of the memory circuits and the control logic circuit onto different layers such that each layer may be separately optimized. One control logic circuit suffices for several memory circuits, reducing cost. Fabrication of 3DS memory involves thinning of the memory circuit to less than 50 μm in thickness and bonding the circuit to a circuit stack while still in wafer substrate form. Fine-grain high density inter-layer vertical bus connections are used. The 3DS memory manufacturing method enables several performance and physical size efficiencies, and is implemented with established semiconductor processing techniques.

Patent
   8928119
Priority
Apr 04 1997
Filed
Mar 17 2009
Issued
Jan 06 2015
Expiry
Aug 08 2017
Extension
126 days
Assg.orig
Entity
Small
135
440
EXPIRED
1. A stacked integrated circuit comprising:
a plurality of substantially flexible integrated circuits having topside and bottom-side surfaces, wherein said integrated circuits are stacked in relation to one another, wherein at least one of the substantially flexible integrated circuits comprises a substantially flexible monocrystalline semiconductor substrate of one piece made from a semiconductor wafer thinned by at least one of abrasion, etching and parting to expose a surface, and subsequently polishing or smoothing the exposed surface to form a polished or smoothed surface; and
interconnections that pass through one or more of the plurality of substantially flexible integrated circuits and that electrically connect the plurality of substantially flexible integrated circuits, wherein the interconnections are vertical interconnections and are internal to the plurality of substantially flexible integrated circuits.
62. A stacked integrated circuit comprising:
a plurality of substantially flexible integrated circuits having topside and bottom-side surfaces, wherein said integrated circuits are in a stacked relation to one another, wherein at least one of the substantially flexible integrated circuits comprises a thinned, substantially flexible monocrystalline semiconductor substrate of one piece made from a semiconductor water thinned by at least one of abrasion, etching and parting to expose a surface, and subsequently polishing or smoothing the exposed surface to form a polished or smoothed surface;
vertical interconnections that pass through one or more of the plurality of substantially flexible integrated circuits and electrically connect the plurality of substantially flexible integrated circuits; and
a low-stress silicon-based dielectric layer having a tensile stress of less than 5×108 dynes/cm2; wherein:
a process technology used to make a first integrated circuit of the plurality of substantially flexible integrated circuits is different from a process technology used to make a second integrated circuit of the plurality of substantially flexible integrated circuits;
the polished or smoothed surface of the thinned, substantially flexible monocrystalline semiconductor substrate is polished or smoothed to reduce vulnerability to fracture as a result of flexing;
a first integrated circuit of the plurality of substantially flexible integrated circuits has edges that define its size in area, and the thinned, substantially flexible monocrystalline semiconductor substrate extends in one piece across a substantial portion of the area between the edges; and,
a second integrated circuit of the plurality of substantially flexible integrated circuits comprises an array of memory cells and is formed without a monocrystalline semiconductor substrate over the first integrated circuit of the plurality of substantially flexible integrated circuits and comprises at least one silicon-based dielectric layer having a tensile stress of less than 5×108 dynes/cm2.
64. A stacked integrated circuit comprising:
a plurality of substantially flexible integrated circuits having topside and bottom-side surfaces, wherein said integrated circuits are in a stacked relation to one another, wherein at least one of the substantially flexible integrated circuits comprises a thinned, substantially flexible monocrystalline semiconductor substrate of one piece made from a semiconductor wafer thinned by at least one of abrasion, etching and parting to expose a surface, and subsequently polishing or smoothing the exposed surface to form a polished or smoothed surface;
vertical interconnections that pass through one or more of the plurality of substantially flexible integrated circuits and electrically connect the plurality of substantially flexible integrated circuits; and
a low-stress silicon-based dielectric layer having a tensile stress of less than 5×108 dynes/cm2; wherein:
a process technology used to make a first integrated circuit of the plurality of substantially flexible integrated circuits is different from a process technology used to make a second integrated circuit of the plurality of substantially flexible integrated circuits;
the polished or smoothed surface of the thinned, substantially flexible monocrystalline semiconductor substrate is polished or smoothed to reduce vulnerability to fracture as a result of flexing;
a first integrated circuit of the plurality of substantially flexible integrated circuits has edges that define its size in area, and the thinned, substantially flexible monocrystalline semiconductor substrate extends in one piece across a substantial portion of the area between the edges;
a second integrated circuit of the plurality of substantially flexible integrated circuits comprises an array of non-volatile memory cells and is formed over the first integrated circuit of the plurality of substantially flexible integrated circuits and comprises at least one silicon-based dielectric layer having a tensile stress of less than 5×108 dynes/cm2; and,
the first integrated circuit of the plurality of substantially flexible integrated circuits comprising circuitry for storing a plurality of data bits per memory cell.
66. A stacked integrated circuit comprising:
a plurality of substantially flexible integrated circuits having topside and bottom-side surfaces, wherein said integrated circuits are in a stacked relation to one another, wherein at least one of the substantially flexible integrated circuits comprises a thinned, substantially flexible monocrystalline semiconductor substrate of one piece made from a semiconductor wafer thinned by at least one of abrasion, etching and parting to expose a surface, and subsequently polishing or smoothing the exposed surface to form a polished or smoothed surface;
vertical interconnections that pass through one or more of the plurality of substantially flexible integrated circuits and electrically connect the plurality of substantially flexible integrated circuits; and
a low-stress silicon-based dielectric layer having a tensile stress of less than 5×108 dynes/cm2; wherein:
a process technology used to make a first integrated circuit of the plurality of substantially flexible integrated circuits is different from a process technology used to make a second integrated circuit of the plurality of substantially flexible integrated circuits;
the polished or smoothed surface of the thinned, substantially flexible monocrystalline semiconductor substrate is polished or smoothed to reduce vulnerability to fracture as a result of flexing;
a first integrated circuit of the plurality of substantially flexible integrated circuits has edges that define its size in area, and the thinned, substantially flexible monocrystalline semiconductor substrate extends in one piece across a substantial portion of the area between the edges;
the stacked integrated circuit is substantially flexible based on a combination of one or more low-stress dielectric layers and the monocrystalline semiconductor substrate being substantially flexible;
a second integrated circuit of the plurality of substantially flexible integrated circuits comprises an array of non-volatile memory cells and is formed over the first integrated circuit of the plurality of substantially flexible integrated circuits and comprises at least one silicon-based dielectric layer having a tensile stress of less than 5×108 dynes/cm2;
the first integrated circuit of the plurality of substantially flexible integrated circuits comprising circuitry for storing a plurality of data bits per memory cell; and,
the first integrated circuit of the plurality of substantially flexible integrated circuits comprising error correction circuitry for detecting and correcting data read errors from the non-volatile memory cells of the second integrated circuit of the plurality of substantially flexible integrated circuits.
2. The stacked integrated circuit of claim 1, wherein at least one of the plurality of substantially flexible integrated circuits comprises a low stress dielectric material.
3. The stacked integrated circuit of claim 2, wherein the low stress dielectric material is at least one of a silicon-based dielectric material, a silicon dioxide dielectric material, and an oxide of silicon dielectric material and has a stress of less than 5×108 dynes/cm2 tensile.
4. The stacked integrated circuit of claim 1, wherein at least two of: at least one of the substantially flexible integrated circuits comprises dielectric material having a stress of less than 5×108 dynes/cm2 tensile; the dielectric material is at least one of silicon dioxide and an oxide of silicon; at least one of the substantially flexible integrated circuits has one of logic circuitry and memory circuitry formed thereon; at least one of the interconnections passes through the substantially flexible substrate and is insulated by an insulation material from said substrate, the insulation material comprising a silicon-based dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
5. The stacked integrated circuit of claim 1, wherein at least three of: at least one of the substantially flexible integrated circuits comprises dielectric material having a stress of less than 5×108 dynes/cm2 tensile; the dielectric material is at least one of silicon dioxide and an oxide of silicon; at least one of the substantially flexible integrated circuits has one of logic circuitry and memory circuitry formed thereon; at least one of the interconnections passes through the substantially flexible substrate and is insulated by an insulation material from said substrate, the insulation material comprising a silicon-based dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
6. The stacked integrated circuit of claim 1, wherein at least four of: at least one of the substantially flexible integrated circuits comprises dielectric material having a stress of less than 5×108 dynes/cm2 tensile; the dielectric material is at least one of silicon dioxide and an oxide of silicon; at least one of the substantially flexible integrated circuits has one of logic circuitry and memory circuitry formed thereon; at least one of the interconnections passes through the substantially flexible monocrystalline substrate and is insulated by an insulation material from said substrate, the insulation material comprising a silicon-based dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
7. The stacked integrated circuit of claim 1, wherein the substantially flexible substantially flexible monocrystalline semiconductor substrate has a silicon-based dielectric layer formed thereon with a stress of less than 5×108 dynes/cm2 tensile.
8. The stacked integrated circuit of claim 7, wherein at least one of the plurality of substantially flexible integrated circuits has a thickness of 50 microns or less.
9. The stacked integrated circuit of claim 7, wherein at least one of the plurality of substantially flexible integrated circuits comprises a single crystal semiconductor material and wherein at least one of the plurality of substantially flexible integrated circuits comprises at least one memory array of memory cells.
10. The stacked integrated circuit of claim 7, wherein the plurality of substantially flexible integrated circuits comprises at least one of a logic integrated circuit and a memory integrated circuit.
11. The stacked integrated circuit of claim 10, wherein the logic integrated circuit is a microprocessor integrated circuit.
12. The stacked integrated circuit of claim 7, wherein the plurality of substantially flexible integrated circuits comprises a plurality of logic integrated circuits.
13. The stacked integrated circuit of claim 7, wherein at least two of the interconnections electrically interconnect at least two of the plurality of substantially flexible integrated circuits and are vertical interconnections passing through the substantially flexible monocrystalline semiconductor substrate and insulated by an insulation material from the substantially flexible monocrystalline semiconductor substrate, the insulation material comprising a silicon-based dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
14. The stacked integrated circuit of claim 7, wherein at least one of the interconnections passes vertically through the substantially flexible monocrystalline semiconductor substrate and is insulated by an insulation material from said substantially flexible monocrystalline semiconductor substrate, the insulation material comprising a silicon-based dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
15. The stacked integrated circuit of claim 7, wherein data processing is performed by at least two of the substantially flexible integrated circuits in cooperation with one another.
16. The stacked integrated circuit of claim 7, further comprising:
a memory array having a plurality of memory cells, a plurality of data lines, and a plurality of gate lines, each memory cell storing a data value and comprising circuitry for coupling that data value to one of said data lines in response to a gate control signal on one of said gate lines;
circuitry for generating a gate control signal in response to an address, including means for mapping addresses to gate lines; and
a controller for determining that one of said memory cells is defective and for altering said mapping to eliminate references to said one of said memory cells.
17. The stacked integrated circuit of claim 7, wherein said interconnections comprise a plurality of vertical interconnections passing through the substantially flexible monocrystalline semiconductor substrate, each of said vertical interconnections comprising a conductive center portion and an insulating portion surrounding the conductive center portion.
18. The stacked integrated circuit of claim 17, wherein the insulating portion surrounding the conductive center portion of said vertical interconnections comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
19. The stacked integrated circuit of claim 17, wherein at least one of the following: the insulating portion surrounding the conductive center portion of said vertical interconnections comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile; one of the substantially flexible integrated circuits is formed using a different process technology than another of the substantially flexible integrated circuits, the different process technology being selected from a group consisting of DRAM, SRAM, FLASH, EPROM, EEPROM, Ferroelectric and Giant Magneto Resistance; at least one of substantially flexible integrated circuits comprises a microprocessor; the substantially flexible integrated circuits comprise at least one memory integrated circuit and at least one logic integrated circuit, wherein the at least one logic integrated circuit performs testing of the at least one memory integrated circuit; a plurality of interior vertical interconnections traverse at least one of the substantially flexible integrated circuits; continuous vertical interconnections connect circuitry of the substantially flexible integrated circuits; information processing is performed on data routed between circuitry on the substantially flexible integrated circuits; at least one substantially flexible integrated circuit has reconfiguration circuitry; vertical interconnections connect the circuit substrate and circuitry of a first substantially flexible integrated circuit, each vertical interconnection comprising a conductive center portion and a insulating portion surrounding the conductive center portion, the insulating portion comprising a dielectric material having stress of less than 5×108 dynes/cm2 tensile; at least one of the substantially flexible integrated circuits comprises a dielectric layer with a stress of less than 5×108 dynes/cm2 tensile.
20. The stacked integrated circuit of claim 17, wherein at least two of the following: the insulating portion surrounding the conductive center portion of said vertical interconnections comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile; one of the substantially flexible integrated circuits is formed using a different process technology than another of the substantially flexible integrated circuits, the different process technology being selected from a group consisting of DRAM, SRAM, FLASH, EPROM, EEPROM, Ferroelectric and Giant Magneto Resistance; at least one of substantially flexible integrated circuits comprises a microprocessor; the substantially flexible integrated circuits comprise at least one memory integrated circuit and at least one logic integrated circuit, wherein the at least one logic integrated circuit performs testing of the at least one memory integrated circuit; a plurality of interior vertical interconnections traverse at least one of the substantially flexible integrated circuits; continuous vertical interconnections connect circuitry of the substantially flexible integrated circuits; information processing is performed on data routed between circuitry on the substantially flexible integrated circuits; at least one substantially flexible integrated circuit has reconfiguration circuitry; vertical interconnections connect the circuit substrate and circuitry of a first substantially flexible integrated circuit, each vertical interconnection comprising a conductive center portion and a insulating portion surrounding the conductive center portion, the insulating portion comprising a dielectric material having stress of less than 5×108 dynes/cm2 tensile; at least one of the substantially flexible integrated circuits comprises a dielectric layer with a stress of less than 5×108 dynes/cm2 tensile; the stacked integrated circuit is a stacked integrated memory circuit, and wherein at least a portion of the stacked integrated memory circuit is partitioned into a plurality of circuit block stacks each with vertically interconnected circuit blocks, wherein a plurality of said circuit block stacks are configured to independently perform memory operations; a plurality of the substantially flexible integrated circuits each comprises a deposited polysilicon layer and a low stress silicon-based dielectric layer having a stress of less than 5×108 dynes/cm2 tensile; the at least one of the substantially flexible integrated circuits is made flexible by the combination of 1) thinning the semiconductor substrate to form a thinned surface, 2) polishing or smoothing the thinned surface, and 3) depositing over the semiconductor substrate one or more low stress silicon-based dielectric layers having a stress of less than 5×108 dynes/cm2 tensile.
21. The stacked integrated circuit of claim 17, wherein at least three of the following: the insulating portion surrounding the conductive center portion of said vertical interconnections comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile; one of the substantially flexible integrated circuits is formed using a different process technology than another of the substantially flexible integrated circuits, the different process technology being selected from a group consisting of DRAM, SRAM, FLASH, EPROM, EEPROM, Ferroelectric and Giant Magneto Resistance; at least one of substantially flexible integrated circuits comprises a microprocessor; the substantially flexible integrated circuits comprise at least one memory integrated circuit and at least one logic integrated circuit, wherein the at least one logic integrated circuit performs testing of the at least one memory integrated circuit; a plurality of interior vertical interconnections traverse at least one of the substantially flexible integrated circuits; continuous vertical interconnections connect circuitry of the substantially flexible integrated circuits; information processing is performed on data routed between circuitry on the substantially flexible integrated circuits; at least one substantially flexible integrated circuit has reconfiguration circuitry; vertical interconnections connect the circuit substrate and circuitry of a first substantially flexible integrated circuit, each vertical interconnection comprising a conductive center portion and a insulating portion surrounding the conductive center portion, the insulating portion comprising a dielectric material having stress of less than 5×108 dynes/cm2 tensile; at least one of the substantially flexible integrated circuits comprises a dielectric layer with a stress of less than 5×108 dynes/cm2 tensile; the stacked integrated circuit is a stacked integrated memory circuit, and wherein at least a portion of the stacked integrated memory circuit is partitioned into a plurality of circuit block stacks each with vertically interconnected circuit blocks, wherein a plurality of said circuit block stacks are configured to independently perform memory operations; a plurality of the substantially flexible integrated circuits each comprises a deposited polysilicon layer and a low stress silicon-based dielectric layer having a stress of less than 5×108 dynes/cm2 tensile; the at least one of the substantially flexible integrated circuits is made flexible by the combination of 1) thinning the semiconductor substrate to form a thinned surface, 2) polishing or smoothing the thinned surface, and 3) depositing over the semiconductor substrate one or more low stress silicon-based dielectric layers having a stress of less than 5×108 dynes/cm2 tensile.
22. The stacked integrated circuit of claim 7, wherein the stacked integrated circuit is a stacked integrated memory circuit, and wherein at least a portion of the stacked integrated memory circuit is partitioned into a plurality of block stacks each comprising vertically interconnected circuit blocks on the integrated circuits, wherein the block stacks are configured to independently perform different memory accesses.
23. The stacked integrated circuit of claim 7, wherein said interconnections comprise at least one vertical interconnection, each vertical interconnection comprising a hole etched through the substantially flexible monocrystalline semiconductor substrate and within said hole a conductive center portion and an insulating portion surrounding the conductive center portion.
24. The stacked integrated circuit of claim 23, wherein the insulating portion comprises a low stress dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
25. The stacked integrated circuit of claim 7, wherein a plurality of the substantially flexible integrated circuits each comprises a layer of memory cells and adjacent to the layer of memory cells a low stress silicon-based dielectric layer having a stress of less than 5×108 dynes/cm2 tensile.
26. The stacked integrated circuit of claim 7, wherein the at least one of the substantially flexible integrated circuits is made flexible by, in combination 1) thinning the monocrystalline semiconductor substrate to form a thinned surface, 2) polishing or smoothing the thinned surface, and 3) depositing over the monocrystalline semiconductor substrate one or more low stress silicon-based dielectric layers each having a stress of less than 5×108 dynes/cm2 tensile.
27. The stacked integrated circuit of claim 7, wherein:
a first one of the substantially flexible integrated circuits comprises a logic circuit and others of the substantially flexible integrated circuits comprise memory circuits; the logic circuit comprises first circuitry to retrieve data stored on the memory circuits via the interconnections and comprises second circuitry to perform ECC on the retrieved data.
28. The stacked integrated circuit of claim 27, wherein the retrieved data includes ECC syndrome bits used by the second circuitry to perform ECC on the retrieved data.
29. The stacked integrated circuit of claim 27, wherein the interconnections pass vertically through the logic circuit or the memory circuits.
30. The stacked integrated circuit of claim 7, wherein:
a first one of the substantially flexible integrated circuits comprises a logic circuit and others of the substantially flexible integrated circuits comprise memory circuits and one or more arrays of memory cells; and
the logic circuit comprises circuitry to reconfigure the memory circuits via the interconnections to avoid defects in the memory circuits.
31. The stacked integrated circuit of claim 30, wherein the logic circuit reconfigures access to the memory circuits by substituting redundant memory cells for defective memory cells in the memory circuits.
32. The stacked integrated circuit of claim 31, wherein the interconnections pass vertically through the logic circuit or the memory circuits.
33. The stacked integrated circuit of claim 7, wherein:
a first one of the substantially flexible integrated circuits comprises a logic circuit and others of the substantially flexible integrated circuits comprise memory circuits with DRAM memory cells;
the logic circuit comprises circuitry to refresh the DRAM memory cells via the interconnections.
34. The stacked integrated circuit memory of claim 33, wherein the interconnections pass vertically through the logic circuit or the memory circuits.
35. The stacked integrated circuit of claim 29, wherein the interconnections that pass vertically through the logic circuit or the memory circuits pass through the substantially flexible monocrystalline semiconductor substrate and each comprises a conductive center portion and an insulating portion surrounding the conductive center portion, wherein the insulating portion comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
36. The stacked integrated circuit of claim 32, wherein the interconnections that pass vertically through the logic circuit or the memory circuits pass through the substantially flexible monocrystalline semiconductor substrate and each comprises a conductive center portion and an insulating portion surrounding the conductive center portion, wherein the insulating portion comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
37. The stacked integrated circuit of claim 34, wherein the interconnections that pass vertically through the logic circuit or the memory circuits pass through the substantially flexible monocrystalline semiconductor substrate and each comprises a conductive center portion and an insulating portion surrounding the conductive center portion, wherein the insulating portion comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
38. The stacked integrated circuit of claim 28, wherein at least one of the logic circuit and the memory circuits comprises a plurality of vertical interconnections passing vertically therethrough, each of said vertical interconnections comprising a conductive center portion and an insulating portion surrounding the conductive center portion, wherein the insulating portion comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
39. The stacked integrated circuit of claim 31, wherein at least one of the logic circuit and the memory circuits comprises a plurality of vertical interconnections passing vertically therethrough, each of said vertical interconnections comprising a conductive center portion and an insulating portion surrounding the conductive center portion, wherein the insulating portion comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
40. The stacked integrated circuit of claim 33, wherein at least one of the logic circuit and the memory circuits comprises a plurality of vertical interconnections passing vertically therethrough, each of said vertical interconnections comprising a conductive center portion and an insulating portion surrounding the conductive center portion, wherein the insulating portion comprises a dielectric material having a stress of less than 5×108 dynes/cm2 tensile.
41. The stacked integrated circuit of claim 1, wherein a process technology used to make at least one of the plurality of substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
42. The stacked integrated circuit of claim 14, wherein a process technology used to make at least one of the plurality of substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
43. The stacked integrated circuit structure of claim 1, wherein:
the plurality of substantially flexible integrated circuits form a stacked integrated circuit memory structure;
a majority of the stacked integrated circuit memory structure is partitioned into a plurality of block stacks, each block stack comprising at least one memory array controller block, a plurality of memory array blocks and an array of vertical interconnections that vertically interconnect the at least one memory array controller block and the plurality of memory array blocks and pass through at least one of the at least one memory array controller block and the plurality of memory array blocks, wherein the at least one memory array controller block comprises circuitry for performing memory accesses with the plurality of memory array blocks;
wherein at least two of the plurality of block stacks can independently and simultaneously perform memory accesses within the stacked integrated circuit memory structure, wherein said vertical interconnections comprise each array of vertical interconnections and the array of vertical interconnections of the at least two of the plurality of block stacks can independently and simultaneously transfer data during said memory accesses.
44. The stacked circuit structure of claim 43, wherein at least one block stack of the plurality of the block stacks comprises at least one memory array controller block comprising circuitry that performs error correction on read data from at least one of the memory array blocks of said at least one block stack, wherein read data is transferred through one or more vertical interconnections of the array of vertical interconnections of the at least one block stack.
45. The stacked circuit structure of claim 44, wherein the read data includes ECC data used by the circuitry of the at least one memory array controller block to perform error correction on the read data.
46. The stacked circuit structure of claim 43, wherein at least one block stack of the plurality of the block stacks comprises at least one memory array controller block comprising reconfiguration circuitry that performs reconfiguration of the array of vertical interconnections to avoid using one or more defective memory portions of the plurality of memory array blocks.
47. The stacked circuit structure of claim 46, wherein the reconfiguration circuitry substitutes for the one or more defective memory portions of the plurality of memory array blocks one or more redundant memory portions from at least one of the plurality of memory array blocks.
48. The stacked circuit structure of claim 47, wherein
the one or more defective memory portions comprise defective gate lines of the plurality of memory array blocks and the one or more redundant memory portions comprise redundant gate lines of the plurality of memory array blocks.
49. The stacked circuit structure of claim 43, wherein at least one block stack of the plurality of block stacks comprises at least one memory array controller block further comprising reconfiguration circuitry that performs reconfiguration of the array of vertical interconnections to avoid using one or more defective vertical interconnections of the at least one block stack.
50. The stacked circuit structure of claim 43, wherein at least one block stack of the plurality of block stacks comprises at least one memory array controller block further comprising refresh circuitry that performs refresh of one or more memory portions of the plurality of memory array blocks, wherein the refresh circuitry performs refresh of the one or more memory portions of the plurality of memory array blocks using one or more of the vertical interconnections of said at least one block stack.
51. The stacked circuit structure of claim 43, wherein at least one block stack of the plurality of block stacks comprises at least one memory array block controller further comprising test circuitry that performs testing of one or more memory portions of the plurality of memory array blocks, wherein the test circuitry tests the one or more memory portions of the plurality of memory array blocks using one or more of the vertical interconnections of said at least one block stack.
52. The stacked integrated circuit of claim 43, wherein the plurality of substantially flexible integrated circuits comprises a memory comprising at least one memory array and a memory controller, wherein at least one of:
the memory is reconfigurable by operation of the memory controller; and
the memory controller or one of the plurality of substantially flexible integrated circuit layers comprises circuitry for performing functional testing of the at least one memory array.
53. The apparatus of claim 1, further comprising a low-stress silicon-based dielectric layer formed above the substantially flexible monocrystalline semiconductor substrate and having a stress of less than 5×108 dynes/cm2 tensile, wherein:
a backside of the substantially flexible monocrystalline semiconductor substrate is polished or smoothed to reduce vulnerability to fracture as a result of flexing; and at least one of the plurality of substantially flexible integrated circuits has edges that define its size in area; and the substantially flexible monocrystalline semiconductor substrate extends in one piece across a substantial portion of the area between the edges.
54. The apparatus of claim 1, further comprising a low-stress silicon-based dielectric layer formed above the substantially flexible monocrystalline semiconductor substrate and having a stress of less than 5×108 dynes/cm2 tensile, wherein:
a backside of the substantially flexible monocrystalline semiconductor substrate is polished or smoothed to reduce vulnerability to fracture as a result of flexing; and at least one of the plurality of substantially flexible integrated circuits comprises a singulated die having a die area defined by its perimeter; and the substantially flexible monocrystalline semiconductor substrate extends in one piece across a substantial portion of the die area.
55. The apparatus of claim 1, further comprising a low-stress silicon-based dielectric layer formed above the substantially flexible monocrystalline semiconductor substrate and having a stress of less than 5×108 dynes/cm2 tensile, wherein:
a backside of the substantially flexible monocrystalline semiconductor substrate is polished or smoothed to reduce vulnerability to fracture as a result of flexing; and at least one of the plurality of substantially flexible integrated circuits is substantially flexible based on the substantially flexible monocrystalline semiconductor substrate being substantially flexible and the stress of the low stress silicon-based dielectric layer being less than 5×108 dynes/cm2 tensile.
56. The stacked integrated circuit of claim 16, wherein a process technology used to make at least one of the substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
57. The stacked integrated circuit of claim 43, wherein a process technology used to make at least one of the substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
58. The stacked integrated circuit of claim 50, wherein a process technology used to make at least one of the substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
59. The stacked integrated circuit of claim 53, wherein a process technology used to make at least one of the substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
60. The stacked integrated circuit of claim 54, wherein a process technology used to make at least one of the substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
61. The stacked integrated circuit of claim 55, wherein a process technology used to make at least one of the substantially flexible integrated circuits is different from a process technology used to make at least another one of the plurality of substantially flexible integrated circuits.
63. The stacked integrated circuit of claim 62, further comprising a low-stress silicon-based dielectric layer having a stress of less than 5×108 dynes/cm2 tensile formed on the polished or smoothed backside of the of the thinned, substantially flexible monocrystalline semiconductor substrate.
65. The stacked integrated circuit of claim 64, further comprising a low-stress silicon-based dielectric layer having a stress of less than 5×108 dynes/cm2 tensile formed on the polished or smoothed backside of the of the thinned, substantially flexible monocrystalline semiconductor substrate.
67. The stacked integrated circuit of claim 66, further comprising a low-stress silicon-based dielectric layer having a stress of less than 5×108 dynes/cm2 tensile formed on the polished or smoothed backside of the of the thinned, substantially flexible monocrystalline semiconductor substrate.

This application is a divisional of U.S. Ser. No. 10/222,816 filed Aug. 19, 2002 now U.S. Pat. No. 7,504,732, which is a divisional of U.S. Ser. No. 09/776,885 filed Feb. 6, 2001, now U.S. Pat. No. 6,551,857, which is a continuation of U.S. Ser. No. 09/607,363 filed Jun. 30, 2000, now U.S. Pat. No. 6,632,706, which is a continuation of U.S. Ser. No. 08/971,565 filed Nov. 17, 1997, now U.S. Pat. No. 6,133,640, which is a divisional of U.S. Ser. No. 08/835,190 filed Apr. 4, 1997, now U.S. Pat. No. 5,915,167.

1. Field of the Invention

The present invention relates to stacked integrated circuit memory.

2. State of the Art

Manufacturing methods for increasing the performance and decreasing the cost of electronic circuits, nearly without exception, are methods that increase the integration of the circuit and decrease its physical size per equivalent number of circuit devices such as transistors or capacitors. These methods have produced as of 1996 microprocessors capable of over 100 million operations per second that cost less than $1,000 and 64 Mbit DRAM circuits that access data in less than 50 ns and cost less than $50. The physical size of such circuits is less than 2 cm2. Such manufacturing methods support to a large degree the economic standard of living in the major industrialized countries and will most certainly continue to have significant consequences in the daily lives of people all over the world.

Circuit manufacturing methods take two primary forms: process integration and assembly integration. Historically the line between these two manufacturing disciplines has been clear, but recently with the rise in the use of MCMs (Multi-Chip Modules) and flip-chip die attach, this clear separation may soon disappear. (The predominate use of the term Integrated Circuit (IC) herein is in reference to an Integrated Circuit in singulated die form as sawed from a circuit substrate such as a semiconductor wafer versus, for example, an Integrated Circuit in packaged form.) The majority of ICs when in initial die form are presently individually packaged, however, there is an increasing use of MCMs. Die in an MCM are normally attached to a circuit substrate in a planar fashion with conventional IC die I/O interconnect bonding methods such as wire bonding, DCA (Direct Chip Attach) or FCA (Flip-Chip Attach).

Integrated circuit memory such as DRAM, SRAM, flash EPROM, EEPROM, Ferroelectric, GMR (Giant MagnetoResistance), etc. have the common architectural or structural characteristic of being monolithic with the control circuitry integrated on the same die with the memory array circuitry. This established (standard or conventional) architecture or circuit layout structure creates a design trade-off constraint between control circuitry and memory array circuitry for large memory circuits. Reductions in the fabrication geometries of memory cell circuitry has resulted in denser and denser memory ICs, however, these higher memory densities have resulted in more sophisticated control circuitry at the expense of increased area of the IC. Increased IC area means at least higher fabrication costs per IC (fewer ICs per wafer) and lower IC yields (fewer working ICs per wafer), and in the worst case, an IC design that cannot be manufactured due to its non-competitive cost or unreliable operation.

As memory density increases and the individual memory cell size decreases more control circuitry is required. The control circuitry of a memory IC as a percentage of IC area in some cases such as DRAMs approaches or exceeds 40%. One portion of the control circuitry is the sense amp which senses the state, potential or charge of a memory cell in the memory array circuitry during a read operation. The sense amp circuitry is a significant portion of the control circuitry and it is a constant challenge to the IC memory designer to improve sense amp sensitivity in order to sense ever smaller memory cells while preventing the area used by the sense amp from becoming too large.

If this design constraint or trade-off between control and memory circuits did not exist, the control circuitry could be made to perform numerous additional functions, such as sensing multiple storage states per memory cell, faster memory access through larger more sensitive sense amps, caching, refresh, address translation, etc. But this trade-off is the physical and economic reality for memory ICs as they are presently made by all manufacturers.

The capacity of DRAM circuits increases by a factor of four from one generation to the next; e.g. 1 bit, 4 bit, 16 Mbit and 64 Mbit DRAMs. This four times increase in circuit memory capacity per generation has resulted in larger and larger DRAM circuit areas. Upon introduction of a new DRAM generation the circuit yields are too low and, therefore, not cost effective for high volume manufacture. It is normally several years between the date prototype samples of a new DRAM generation are shown and the date such circuits are in volume production.

Assembling die in a stacked or three dimensional (3D) manner is disclosed in U.S. Pat. No. 5,354,695 of the present inventor, incorporated herein by reference. Furthermore, assembling die in a 3D manner has been attempted with regard to memory. Texas Instruments of Dallas Tex., Irvine Sensors of Costa Mesa Calif. and Cubic Memory Corporation of Scotts Valley Calif. have all attempted to produce stacked or 3D DRAM products. In all three cases, conventional DRAM circuits in die form were stacked and the interconnect between each DRAM in the stack was formed along the outside surface of the circuit stack. These products have been available for the past several years and have proved to be too expensive for commercial applications, but have found some use in space and military applications due to their small physical size or footprint.

The DRAM circuit type is referred to and often used as an example in this specification, however, this invention is clearly not limited to the DRAM type of circuit. Undoubtedly memory cell types such as EEPROMs (Electrically Erasable Programmable Read Only Memories), flash EPROM, Ferroelectric, GMR Giant Magneto Resistance or combinations (intra or inter) of such memory cells can also be used with the present Three Dimensional Structure (3DS) methods to form 3DS memory devices.

The present invention furthers, among others, the following objectives:

1. Several-fold lower fabrication cost per megabyte of memory than circuits conventionally made solely with monolithic circuit integration methods.

2. Several-fold higher performance than conventionally made memory circuits.

3. Many-fold higher memory density per IC than conventionally made memory circuits.

4. Greater designer control of circuit area size, and therefore, cost.

5. Circuit dynamic and static self-test of memory cells by an internal controller.

6. Dynamic error recovery and reconfiguration.

7. Multi-level storage per memory cell.

8. Virtual address translation, address windowing, various address functions such as indirect addressing or content addressing, analog circuit functions and various graphics acceleration and microprocessor functions.

The present 3DS memory technology is a stacked or 3D circuit assembly technology. Features include:

1. Physical separation of the memory circuits and the control logic circuit onto different layers;

2. The use of one control logic circuit for several memory circuits;

3. Thinning of the memory circuit to less than about 50 μm in thickness forming a substantially flexible substrate with planar processed bond surfaces and bonding the circuit to the circuit stack while still in wafer substrate form; and

4. The use of fine-grain high density inter layer vertical bus connections.

The 3DS memory manufacturing method enables several performance and physical size efficiencies, and is implemented with established semiconductor processing techniques. Using the DRAM circuit as an example, a 64 Mbit DRAM made with a 0.25 μm process could have a die size of 84 mm2, a memory area to die size ratio of 40% and a access time of about 50 ns for 8 Mbytes of storage; a 3DS DRAM IC made with the same 0.25 μm process would have a die size of 18.6 mm2, use 17 DRAM array circuit layers, a memory area to die size ratio of 94.4% and an expected access time of less than 10 ns for 64 Mbytes of storage. The 3DS DRAM IC manufacturing method represents a scalable, many-fold reduction in the cost per megabyte versus that of conventional DRAM IC manufacturing methods. In other words, the 3DS memory manufacturing method represents, at the infrastructure level, a fundamental cost savings that is independent of the process fabrication technology used.

The present invention may be further understood from the following description in conjunction with the appended drawing. In the drawing:

FIG. 1a is a pictorial view of a 3DS DRAM IC manufactured with Method A or Method B and demonstrating the same physical appearance of I/O bond pads as a conventional IC die;

FIG. 1b is a cross-sectional view of a 3DS memory IC showing the metal bonding interconnect between several thinned circuit layers;

FIG. 1c is a pictorial view of a 3DS DRAM IC stack bonded and interconnected face-down onto a larger conventional IC or another 3DS IC;

FIG. 2a is a diagram showing the physical layout of a 3DS DRAM array circuit block with one data-line set of bus lines, i.e. one port;

FIG. 2b is a diagram showing the physical layout of a 3DS DRAM array circuit block with two sets of data-line bus lines, i.e. two ports;

FIG. 2c is a diagram showing the physical layout of a portion of an exemplary memory controller circuit;

FIG. 3 is a diagram showing the physical layout of a 3DS DRAM array circuit showing partitions for sixty-four (64) 3DS DRAM array blocks;

FIG. 4 is a cross-sectional view of a generic 3DS vertical interconnection or feed-through in a thinned substrate;

FIG. 5 is a diagram showing the layout of a 3DS memory multiplexer for down-selecting gate-line read or write selection.

Referring to FIG. 1a and FIG. 1b, the 3DS (Three Dimensional Structure) memory device 100 is a stack of integrated circuit layers with fine-grain vertical interconnect between all circuit layers. The term fine-grain inter-layer vertical interconnect is used to mean electrical conductors that pass through a circuit layer with or without an intervening device element and have a pitch of nominally less than 100 μm and more typically less than 10 μm, but not limited to a pitch of less than 2 m, as best seen in FIG. 2a and FIG. 2b. The fine-grain inter-layer vertical interconnect also functions to bond together the various circuit layers. As shown in FIG. 1b, although the bond and interconnect layers 105a, 105b, etc., are preferably metal, other material may also be used as described more fully hereinafter.

The pattern 107a, 107b, etc. in the bond and interconnect layers 105a, 105b, etc. defines the vertical interconnect contacts between the integrated circuit layers and serves to electrically isolate these contacts from each other and the remaining bond material; this pattern takes the form of either voids or dielectric filled spaces in the bond layers.

The 3DS memory stack is typically organized as a controller circuit 101 and some number of memory array circuit layers 103, typically between nine (9) and thirty-two (32), but there is no particular limit to the number of layers. The controller circuit is of nominal circuit thickness (typically 0.5 mm or greater), but each memory array circuit layer is a thinned and substantially flexible circuit with net low stress, less than 50 μm and typically less than 10 μm in thickness. Conventional I/O bond pads are formed on a final memory array circuit layer for use with conventional packaging methods. Other metal patterns may be used such as insertion interconnection (disclosed in U.S. Pat. Nos. 5,323,035 and 5,453,404 of the present inventor), DCA (Direct Chip Attach) or FCA (Flip-Chip Attach) methods.

Further, the fine grain inter-layer vertical interconnect can be used for direct singulated die bonding between a 3DS memory die and a conventional die (wherein the conventional die could be the controller circuit as shown in FIG. 1c) or a 3DS memory die and another 3DS memory die; it should be assumed that the areas (sizes) of the respective dice to be bonded together can vary and need not be the same. Referring more particularly to FIG. 1c, a 3DS DRAM IC stack 100 is bonded and interconnected face-down onto a larger conventional IC or another 3DS IC 107. Optionally the 3DS stack 100 can be composed of only DRAM array circuits with the DRAM controller circuitry as part of the larger die. If the DRAM controller circuitry is part of the larger die, then fine-grain vertical bus interconnect would be required (at the face 109 of the 3DS DRAM IC stack 100) to connect the 3DS DRAM array circuit to the DRAM controller, otherwise larger grain conventional interconnection could be incorporated (patterned) into the planarized bond layer.

As shown in FIG. 3, each memory array circuit layer includes a memory array circuit 300 composed of memory array blocks 301 (nominally less than 5 mm2 in area) and each block is composed of memory cells (in much the same manner as the cell array of a DRAM or EEPROM circuit), busing electrodes, and—at the option of the designer—enabling gates for selection of specific rows or columns of the memory array. The controller circuit is composed of sense amps, address, control and drive logic that would normally be found at the periphery of a typical memory circuit of monolithic design such as in a conventional DRAM.

Fine-grain busing vertically connects the controller independently to each memory array layer such that the controller can provide drive (power) or enable signals to any one layer without affecting the state of any of the other layers. This allows the controller to test, read or write independently each of the memory circuit layers.

FIG. 2a and FIG. 2b show examples of layouts of possible blocks of a memory array such as the block 301 of FIG. 3. Although only a portion of the block is shown, in the illustrated embodiment, the blocks exhibit bilateral symmetry such that the layout of the complete block may be ascertained from the illustrated portion. Abbreviations “T”, “L”, and “TL” are used following various reference numerals to indicate “Top”, “Left” and “Top-Left,” respectively, implying corresponding elements not shown in the figure. Referring to FIG. 2a, a core portion 200 of the block is composed of a “sea” of memory cells. Logically, the aggregation of memory cells may be subdivided into “macrocells” 201 each containing some number of memory cells, e.g. an 8×8 array of 64 memory cells. At the periphery of the core is formed fine-grain vertical interconnect comprising inter-layer bond and bus contact metallizations 400, described in greater detail hereinafter with reference to FIG. 4. The fine-grain vertical interconnect includes I/O power and ground bus lines 203TL, memory circuit layer selects 205T, memory macro cell column selects 207T, data lines 209L, and gate-line multiplexer (“mux”) selects 209TL. Gate-line multiplexers 211T are, in the illustrated embodiment, 4:1 multiplexers used to select one of four columns within an eight-wide memory macro cell column. Corresponding bottom-side 4:1 multiplexers combine with the topside multiplexers 211T to form equivalent 8:1 multiplexers for selecting a single gate-line from an eight-gate-line-wide memory macro cell column.

One implementation of a 4:1 gate-line bus muliplexer 500 is shown in FIG. 5. Gate-line enables 209TL′ (formed in a Metal-1 layer, for example) control transistors 501a through 501d, respectively. Coupled to the transistors are respective gate lines 503a through 503d. Also partly visible are gate-lines 505a through 505d which are coupled to a corresponding 4:1 multiplexer (not shown). When one of the gate-line enables is active, the corresponding gate-line is coupled to an output line 507 of the multiplexer (formed in a Metal-2 layer, for example). The output line is connected to one or more vertical bus connects through a line 509 (formed in a Metal-3 layer and corresponding to metal contact 400 of vertical bus interconnect, for example) and tungsten plugs 511 and 513. The tungsten plug 513 joins the line 509 to a vertical interconnect (not shown).

Referring again to FIG. 2a, in the case of a memory circuit layer, the layer may also include output line enables (gates) from controller layer enable signals 205T, for which I/O enables (gates) 213 may be provided.

Note that at the memory layer level, each memory block 301 is electrically isolated from every other memory block 301. Accordingly, the yield probability for each memory block is independent.

Additional read/write ports can be added as can additional gate-line vertical interconnections; additional vertical interconnection can be used in a redundant manner to improve vertical interconnect yield. The 3DS memory circuit can be designed to have one or more data read and write bus port interconnections. Referring to FIG. 2b, a memory block 301′ is shown as having a port P0, (209L) and a further port P1 (209L′). The only limitation on the number of vertical interconnections is the overhead such vertical interconnections impose on the cost of the circuit. The fine-grain vertical interconnect method allows thousands of interconnects per block at an increase in die area of only a few percent.

As an example, the overhead of the vertical interconnect shown in FIG. 2b for a DRAM memory block of 4 bits with two read/write ports and implemented in 0.35 cm or 0.15 μm design rules consists of approximately 5,000 connections and is less than 6% of the total area of the memory array block. Therefore, the vertical interconnect overhead for each memory array circuit layer in the 3DS DRAM circuit is less than 6%. This is significantly less than that presently experienced in monolithic DRAM circuit designs where the percentage of non-memory cell area can exceed 40%. In a completed 3DS DRAM circuit the percentage of non-memory cell area is typically less than 10% of the total area of all circuits in the stacked structure.

The 3DS memory device decouples control functions that normally would be found adjacent the memory cells of monolithic memory circuits and segregates them to the controller circuit. The control functions, rather than occurring on each memory array layer as in conventional memory ICs, occur only once in the controller circuit. This creates an economy by which several memory array layers share the same controller logic, and therefore, lowers the net cost per memory cell by as much as a factor of two versus conventional memory design.

The segregation of the control functions to a separate controller circuit allows more area for such functions (i.e., an area equal to the area one or several of the memory array blocks). This physical segregation by function also allows fabrication process segregation of the two very different fabrication technologies used for the control logic and the memory array, again realizing additional fabrication cost savings versus the more complicated combined logic/memory fabrication process used for conventional memory. The memory array can also be fabricated in a process technology without consideration of the process requirements of control logic functions. This results in the ability to design higher performance controller functions at lower cost than is the case with present memory circuits. Furthermore, the memory array circuit can also be fabricated with fewer process steps and nominally reduce memory circuit fabrication costs by 30% to 40% (e.g., in the case of a DRAM array, the process technology can be limited to NMOS or PMOS transistors versus CMOS).

Hence, although bonding of sufficiently planar surfaces of a memory controller substrate and a memory array substrate using thermal diffusion metal bonding is preferred, in the broader aspects of the present invention, the invention contemplates bonding of separate memory controller and memory array substrates by any of various conventional surface bonding methods, such as anisotropically conductive epoxy adhesive, to form interconnects between the two to provide random access data storage.

Referring to FIG. 2c, the layout of a portion of an exemplary memory controller circuit is shown. The inter-layer bond and bus contact metallization has the same pattern as previously described in relation to FIG. 2a. Instead of a sea of memory cells, however, there is provided memory controller circuitry including, for example, sense amps and data line buffers 215. Because of the increased availability of die area, multi-level logic may be provided in conjunction with the sense amps and data line buffers 215. Also shown are address decode, gate-line and DRAM layer select logic 217, refresh and self-test logic 219, ECC logic 221, windowing logic 223, etc. Note that self-test logic, ECC logic, and windowing logic are provided in addition to functions normally found within a DRAM memory controller circuit. Depending on die size or the number of controller circuit layers used, any of numerous other functions may also be provided including, for example, virtual memory management, address functions such as indirect addressing or content addressing, data compression, data decompression, audio encoding, audio decoding, video encoding, video decoding, voice recognition, handwriting recognition, power management, database processing, graphics acceleration functions, microprocessor functions (including adding a microprocessor substrate), etc.

The size of the 3DS memory circuit die is not dependent on the present constraint of containing the necessary number of memory cells and control function logic on one monolithic layer. This allows the circuit designer to reduce the 3DS circuit die size or choose a die size that is more optimal for the yield of the circuit. 3DS memory circuit die size is primarily a function of the size and number of memory array blocks and the number of memory array layers used to fabricate the final 3DS memory circuit. (The yield of a nineteen (19) layer, 0.25 μm process 3DS DRAM memory circuit may be shown to be greater than 90% as described below.) This advantage of selecting the 3DS circuit die size enables an earlier first production use of a more advanced process technology than would normally be possible for conventional monolithic circuit designs. This, of course, implies additional cost reductions and greater performance over the conventional memory circuits.

3DS Memory Device Fabrication Methods

There are two principal fabrication methods for 3DS memory circuits. The two 3DS memory fabrication methods, however, have a common objective which is the thermal diffusion metal bonding (also referred to as thermal compression bonding) of a number of circuit substrates onto a rigid supporting or common substrate which itself may optionally also be a circuit component layer.

The supporting or common substrate can be a standard semiconductor wafer, a quartz wafer or a substrate of any material composition that is compatible with the processing steps of the 3DS circuit, the operation of the circuit and the processing equipment used. The size and shape of the supporting substrate is a choice that best optimizes available manufacturing equipment and methods. Circuit substrates are bonded to the supporting substrate and then thinned through various methods. Circuit substrates may be formed on standard single crystal semiconductor substrates or as polysilicon circuits formed on an appropriate substrate such as silicon or quartz.

Polysilicon transistor circuits have the important cost saving option of incorporating a parting layer (film) that allows the substrate upon which the polysilicon circuits are formed to be released and reused. Polysilicon transistor or TFTs (Thin Film Transistor) devices are widely used, and need not be made solely from silicon.

The various circuit layers of the 3DS memory circuit are bonded together by use of thermal diffusion of two metal surfaces, typically aluminum. The surface of the circuits to be bonded are smooth and sufficiently planar as is the case with the surface of an unprocessed semiconductor wafer or a processed semiconductor wafer that has been planarized with the CMP (Chemical Mechanical Processing) method with a surface planarity of less than 1 μm and preferably less than 1,000 Å over at least the area of the surface of the circuit (formed on the substrate) to be bonded. The metal bonding material on the surfaces of the circuits to be bonded are patterned to be mirror images of each other and to define the various vertical interconnect contacts as indicated in FIG. 2a, FIG. 2b, FIG. 2c and FIG. 5. The step of bonding two circuit substrates results in simultaneously forming the vertical interconnection between the two respective circuit layers or substrates.

The thermal diffusion bonding of the circuit layers takes place preferably in an equipment chamber with controlled pressure and atmospheric components such as N2 with little H2O and O2 content. The bonding equipment aligns the patterns of the substrates to be bonded, presses them together with a set of programmed pressures and at one or more temperatures for a period of time as required by the type of metal used as the bonding material. The thickness of the bonding material is nominally in a range of 500 Å to 15,000 Å or greater with a preferred thickness of 1,500 Å. The initial bonding of the substrates is preferably done at lower than standard pressure such as a negative pressure between 1 torr and 740 torr depending on the design of the bond pattern. This can leave an interior negative pressure between the bonding surfaces once external atmospheric pressure is returned which further assists in the formation of the bond and enhances the reliability of the bond.

The preferred bonding material is pure aluminum or an alloy of aluminum, but it is not limited to aluminum and may include, for example, such metals as Sn, Ti, In, Pb, Zn, Ni, Cu, Pt, Au or alloys of such metals that provide acceptable surface bond diffusion capabilities at acceptable temperatures and forming periods. The bonding material is not limited to metal, and could be a combination of bonding materials, such as highly conductive polysilicon, some of which are non-conducting such as silicon dioxide, and the foregoing exemplary types of bond material choices should not be considered to be limitations on how the circuit layers can be bonded.

In the case where metal bond materials form a native surface oxide that either inhibits the forming of a satisfactory bond or may increase the resistance in the vertical interconnections formed by the bond, the oxide should be removed. The bonding equipment provides an oxide reduction capability such that bonding surfaces of the bond material are rendered without native surface oxide. The methods of forming gas atmospheres for the reduction of surface oxides are well known, and there are other methods for removing the native oxide such as sputter etching, plasma etching or ion mill etching. In the case where aluminum is used as the bonding material, it is preferred that the thin native aluminum oxide film of approximately 40 Å on the bonding surfaces be removed prior to bonding.

The thinned (substantially flexible) substrate circuit layers of the 3DS memory circuit are typically memory array circuits, however, the thinned substrate circuit layers are not limited to memory circuits. Other circuit layer types can be controller circuits, non-volatile memory such as EEPROM, additional logic circuitry including microprocessor logic and application specific logic functions such as those that support graphic or database processing, etc. The selection of such circuit layer types follows from the functional requirements of the design of the circuit and is not limited by the 3DS memory fabrication process.

The thinned (substantially flexible) substrate circuit layers are preferably made with dielectrics in low stress (less than 5×108 dynes/cm2) such as low stress silicon dioxide and silicon nitride dielectrics as opposed to the more commonly used higher stress dielectrics of silicon oxide and silicon nitride used in conventional memory circuit fabrication. Such low stress dielectrics are discussed at length in U.S. Pat. No. 5,354,695 of the present inventor, incorporated herein by reference. The use of dielectrics with conventional stress levels could be used in the assembly of a 3DS DRAM circuit, however, if more than a few layers comprise the stacked assembly, each layer in the assembly will have to be stress balanced so that the net stress of the deposited films of a layer is less than 5×108 dynes/cm2. The use of intrinsically low stress deposited films is the preferred method of fabrication versus the use of the method where the stress of individually deposited films are not equal but are deposited to create a net balanced lower stress.

Method A, 3DS Memory Device Fabrication Sequence

This fabrication sequence assumes that several circuit layers will be bonded to a common or support substrate and subsequently thinned in place. An example of a resulting 3DS memory circuit is shown in FIG. 1a.

1. Align and bond to the common substrate the topside of a second circuit substrate.

2A. Grind the backside or exposed surface of the second circuit substrate to a thickness of less than 50 μm and then polish or smooth the surface. The thinned substrate is now a substantially flexible substrate.

Optionally an etch stop may be incorporated in the second substrate from less than a micron to several microns below the semiconductor surface prior to device fabrication. This etch stop can be an epitaxially formed film such as GeB (described in U.S. Pat. Nos. 5,354,695 and 5,323,035 of the present inventor, incorporated herein by reference) or a low density implanted layer of O2 or N2 to form a buried oxide or nitride barrier etch stop layer just below the device layer on the topside of the second substrate. After a preliminary grinding of a significant portion of the backside of the substrate, the remaining portion of the backside of the second substrate is then selectively etched in a chemical bath which stops on the surface of the epitaxial or implanted layer. Subsequent polishing and RIE steps as necessary can then be used to complete the thinning of the second substrate.

Alternately, a parting layer such as H2 implanted into the topside surface of the second substrate prior to device fabrication can be used with a thermal step to crack off the majority of the backside of the second substrate, allowing its reuse.

2B. The second substrate may alternatively be a circuit formed of polysilicon transistors or TFTs over a parting layer such as aluminum, titanium, AlAs, KBr, etc. which can be activated by a specific chemical release agent. The backside of the second substrate is then removed upon activating (dissolving) the release layer and followed as needed by interconnect semiconductor processing steps.

3. Process the thinned backside of the second substrate to form vertical interconnections such as that shown in FIG. 4 with the bonded surface side of the second substrate. The backside processing typically comprises conventional semiconductor processing steps of dielectric and metal deposition, lithography and RIE, the order of which can vary to a great degree. The completion of the backside processing will also result in a patterned metal layer that is similar to the topside bond material pattern to facilitate the subsequent bonding of an additional circuit substrate, a terminal pattern such as a conventional I/O IC bond pad (wire bonding) pattern, a pattern for thermal diffusion bonding of the 3DS memory circuit to another die (either another 3DS circuit or a conventional die), or a pattern for insertion interconnection, conventional DCA (Direct Chip Attach) or FCA (Flip-Chip Attach).

Referring more particularly to FIG. 4, during the fabrication of active circuit devices, an oxide mask 401 is thermally grown or deposited. Vertical bus contacts 403 are then formed, for example from highly-doped polysilicon coincident with a polysilicon gate forming step. Alternatively, contact 403 may be formed of metal. Conventional DRAM interconnect structures 410 are then formed using conventional processing. The DRAM interconnect may include an internal pad 405. The “DRAM processed” portion 420 of the wafer includes various dielectric and metal layers. A final passivation layer 407 is deposited, after which vias 409 are formed. Conventional CMP processing is then used to obtain a planar surface 411. Contacts 413 and bond surfaces not shown are then patterned in a top-most metal layer (e.g, Metal-3).

After bonding and thinning of the backside of the second substrate to about 1-8 μm of silicon (or other semiconductor) substrate 415, feed-throughs 417 are then formed in registration with the contacts 403. A passivation layer 419 and contacts 421 are then formed. The contacts 421 may be formed so as to form a mirror image of the contacts 413, allowing for the bonding of further wafers.

4. If another circuit layer is to be bonded to the 3DS circuit stack, steps 1-3 are repeated.

5A. The circuits of the finished 3DS memory substrate are then conventionally sawed into die (singulated), resulting in a circuit of the type shown in FIG. 1a, and packaged as would be the case with conventional integrated circuits.

5B. The circuits of the finished 3DS memory substrate are then conventionally sawed and then individually aligned and thermal diffusion bonded (metal pattern down) to the surface of a second (conventional IC) die or MCM substrate in a manner similar to that used in the bonding of the circuit substrates of step 1 above. (The conventional die or MCM substrate may have a larger area than the 3DS memory substrate and may include a graphics controller, video controller or microprocessor, such that the 3DS becomes embedded as part of another circuit.) This final bonding step typically incorporates a fine-grain interconnect between the 3DS memory circuit and the die or MCM substrate, but could also use a conventional interconnect pattern. Further, a 3DS memory circuit can be bonded face up to a conventional IC in die form or MCM substrate and wire bonding used to form conventional I/O interconnections.

Method B, 3DS Memory Device Fabrication Sequence

This fabrication sequence assumes that a circuit substrate will first be bonded to a transfer substrate, thinned and then bonded to a common substrate as a layer of the circuit stack. The transfer substrate is then released. This method has the advantage over Method A of allowing substrates to be thinned prior to being bonded to the final circuit stack and allows for simultaneous thinning and vertical interconnect processing of substrate circuit layers.

1. Bond to a transfer substrate a second circuit substrate using a release or parting layer. A transfer substrate may have high tolerance parallel surfaces (TTV or Total Thickness Variance of less than 1 μm) and may be perforated with an array of small holes to assist the parting process. The parting layer can be a blanket deposition of a bonding metal. Precise alignment of the surfaces is not required.

2. Perform step 2A or 2B of Method A.

3. Process the backside of the second substrate to form interconnections with the bonded topside surface of the second substrate as shown in FIG. 4. The backside processing typically comprises conventional semiconductor processing steps of dielectric and metal deposition, lithography and RIE, the order of which can vary to great degree. The completion of the backside processing will also result in a patterned metal layer that is similar to the bond material pattern of the common substrate to facilitate the subsequent bonding of an additional circuit layer.

4. Bond the second circuit to a common or support substrate (3DS stack) and release the transfer substrate by activating the parting layer between it and the second circuit.

5. Process the now exposed topside of the second substrate to form interconnections for subsequent substrate bonding or a terminal pattern for conventional I/O bonding (wire bonding) pad pattern, a pattern for thermal diffusion bonding of the 3DS memory circuit to another die (either another 3DS circuit or a conventional die), or a pattern for conventional insertion interconnect, DCA (Direct Chip Attach) or FCA (Flip-Chip Attach). If another circuit layer is to be bonded to the 3DS circuit stack, steps 1 through 4 are repeated.

6. Perform step 5A or 5B of Method A.

3DS Memory Device Yield Enhancement Methods

The 3DS circuit may be considered a vertically assembled MCM (Multi-Chip Module) and as with an MCM the final yield is the product of the yield probabilities of each component circuit (layer) in the completed 3DS circuit. The 3DS circuit uses several yield enhancement methods that are synergistic in their combined usage within a single memory IC. The yield enhancement methods used in the 3DS memory circuit include small memory array block size, memory array block electrical isolation through physically unique or separate vertical bus interconnections, intra memory array block gate-line sparing, memory array layer sparing (inter-block gate-line sparing), controller sparing and ECC (Error Correcting Codes). The term sparing is used to mean substitution by a redundant element.

The selected size of the memory array block is the first component in the yield equation for the 3DS memory circuit. Each memory array block is individually (uniquely) accessed and powered by the controller circuit and is physically independent of each and every other memory array block including those on the same memory array layer in addition to those on a different memory array layer. The size of the memory array block is typically less than 5 mm2 and preferably less than 3 mm2, but is not limited to a specific size. The size of memory array block, the simplicity of its NMOS or PMOS fabrication process and its physical independence from each of the other memory array blocks, for nearly all production IC processes, provides a conservatively stated nominal yield of greater than 99.5%. This yield assumes that most point defects in the memory array block such as open or shorted interconnect lines or failed memory cells can be spared (replaced) from the intra-block or inter-block set of redundant gate-lines. Major defects in a memory array block which render the complete memory array block unusable result in the complete sparing of the block from a redundant memory array layer or the rejection of the 3DS circuit.

In the example of a 3DS DRAM circuit the yield of a stack of memory array blocks is calculated from the yield equation Ys=((1−(1−Py)2)n)b, where n is the number DRAM array layers, b is the number of blocks per DRAM array and Py is the effective yield (probability) of a DRAM array block less than 3 mm2 in area. Assuming a DRAM array block redundancy of 4% for gate-lines in the DRAM array block lines and one redundant DRAM array layer, and assuming further that the number of blocks per layer is 64, the number of memory array layers in the stack is 17 and the effective value for Py is 0.995, then the stack yield Ys for the complete memory array (including all memory array block stacks) is 97.47%.

The Ys memory array stack yield is then multiplied by the yield of the controller Yc. Assuming a die size of less than 50 mm2, a reasonable Yc for a controller fabricated from a 0.5 cm BiCMOS or mixed signal process would be between 65% and 85%, giving a net 3DS memory circuit yield of between 63.4% and 82.8%. If a redundant controller circuit layer is added to the 3DS memory stack, the yield probabilities would be between 85.7% and 95.2%.

The effective yield of a memory array block can be further increased by the optional use of ECC logic. ECC logic corrects data bit errors for some group size of data bits. The syndrome bits necessary for the operation of ECC logic would be stored on redundant gate-lines of any of the memory array layers in a vertically associated block stack. Further, if necessary, in order to accommodate the storage of ECC syndrome bits, additional memory array layers could be added to the circuit.

Advantageous 3DS Memory Device Controller Capabilities

As compared to a conventional memory circuit, the 3DS memory controller circuit can have various advantageous capabilities due the additional area available for controller circuitry and the availability of various mixed signal process fabrication technologies. Some of these capabilities are self-test of memory cells with dynamic gate-line address assignment, virtual address translation, programmable address windowing or mapping, ECC, data compression and multi-level storage.

Dynamic gate-line address assignment is the use of programmable gates to enable the layer and gate-line for a read/write operation. This allows the physical order of memory storage to be separate or different from the logical order of stored memory.

The testing of each generation of memory devices has resulted in significantly increased test costs. The 3DS memory controller reduces the cost of testing by incorporating sufficient control logic to perform an internal test (self-test) of the various memory array blocks. Circuit testing in the conventional ATE manner is required only for verification of controller circuit functions. The scope of the internal test is further extended to the programmable (dynamic) assignment of unique addresses corresponding to the various gate-lines of each memory array block on each layer. Self-test capability of the 3DS controller circuit can be used anytime during the life of the 3DS memory circuit as a diagnostic tool and as a means to increase circuit reliability by reconfiguring (sparing) the addresses of gate-lines that fail after the 3DS memory circuit is in use in a product.

ECC is a circuit capability that, if included in the controller circuit, can be enabled or disabled by a programming signal or made a dedicated function.

Data compression logic will allow the total amount of data that can be stored in the 3DS memory array to be increased. There are various generally known data compression methods available for this purpose.

Larger sense amps allow greater dynamic performance and enable higher speed read operations from the memory cells. Larger sense amps are expected to provide the capability to store more than one bit (multi-level storage) of information in each memory cell; this capability has already been demonstrated in non-volatile memory circuits such as flash EPROM. Multi-level storage has also been proposed for use in the 4 Gbit DRAM generation circuits.

It will be appreciated by those of ordinary skill in the art that the invention can be embodied in other specific forms without departing from the spirit or essential character thereof. The presently disclosed embodiments are therefore considered in all respects to be illustrative and not restrictive. The scope of the invention is indicated by the appended claims rather than the foregoing description, and all changes which come within the meaning and range of equivalents thereof are intended to be embraced therein.

Leedy, Glenn J.

Patent Priority Assignee Title
10043781, Oct 12 2009 SAMSUNG ELECTRONICS CO , LTD 3D semiconductor device and structure
10115663, Dec 29 2012 Monolithic 3D Inc 3D semiconductor device and structure
10127344, Apr 15 2013 Monolithic 3D Inc Automation for monolithic 3D devices
10157909, Oct 12 2009 Monolithic 3D Inc 3D semiconductor device and structure
10217667, Jun 28 2011 Monolithic 3D Inc 3D semiconductor device, fabrication method and system
10224279, Mar 15 2013 Monolithic 3D Inc Semiconductor device and structure
10290682, Oct 11 2010 Monolithic 3D Inc 3D IC semiconductor device and structure with stacked memory
10297586, Mar 09 2015 Monolithic 3D Inc Methods for processing a 3D semiconductor device
10325651, Mar 11 2013 Monolithic 3D Inc 3D semiconductor device with stacked memory
10354995, Oct 12 2009 Monolithic 3D Inc Semiconductor memory device and structure
10355121, Mar 11 2013 SAMSUNG ELECTRONICS CO , LTD 3D semiconductor device with stacked memory
10366970, Oct 12 2009 MONOLITHIC 3D INC. 3D semiconductor device and structure
10381328, Apr 19 2015 Monolithic 3D Inc Semiconductor device and structure
10388568, Jun 28 2011 Monolithic 3D Inc 3D semiconductor device and system
10388863, Oct 12 2009 Monolithic 3D Inc 3D memory device and structure
10418369, Oct 24 2015 Monolithic 3D Inc Multi-level semiconductor memory device and structure
10497713, Nov 18 2010 Monolithic 3D Inc 3D semiconductor memory device and structure
10515981, Sep 21 2015 Monolithic 3D Inc Multilevel semiconductor device and structure with memory
10522225, Oct 02 2015 Monolithic 3D Inc Semiconductor device with non-volatile memory
10600657, Dec 29 2012 Monolithic 3D Inc 3D semiconductor device and structure
10600888, Mar 10 2018 Monolithic 3D Inc 3D semiconductor device
10651054, Dec 29 2012 Monolithic 3D Inc 3D semiconductor device and structure
10679977, Oct 13 2010 Monolithic 3D Inc 3D microdisplay device and structure
10825779, Apr 19 2015 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
10833108, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D microdisplay device and structure
10840239, Aug 26 2014 Monolithic 3D Inc 3D semiconductor device and structure
10847540, Oct 24 2015 MONOLITHIC 3D INC. 3D semiconductor memory device and structure
10892016, Apr 08 2019 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D memory semiconductor devices and structures
10892169, Dec 29 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure
10896931, Oct 11 2010 Monolithic 3D Inc 3D semiconductor device and structure
10903089, Dec 29 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
10910364, Oct 12 2009 Monolithic 3D Inc 3D semiconductor device
10943934, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure
10964807, Mar 11 2013 Monolithic 3D Inc 3D semiconductor device with memory
10978501, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure with waveguides
10998374, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure
11004694, Dec 29 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11004719, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Methods for producing a 3D semiconductor memory device and structure
11004967, Mar 11 2013 MONOLITHIC 3D INC. 3D semiconductor device and structure with memory
11011507, Apr 19 2015 MONOLITHIC 3D INC. 3D semiconductor device and structure
11018042, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor memory device and structure
11018116, Dec 22 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc Method to form a 3D semiconductor device and structure
11018133, Oct 12 2009 Monolithic 3D Inc 3D integrated circuit
11018156, Apr 08 2019 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D memory semiconductor devices and structures
11018191, Oct 11 2010 MONOLITHIC 3D INC. 3D semiconductor device and structure
11024673, Oct 11 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11030371, Apr 15 2013 Monolithic 3D Inc Automation for monolithic 3D devices
11031275, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with memory
11031394, Jan 28 2014 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11043523, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure with image sensors
11056468, Apr 19 2015 MONOLITHIC 3D INC. 3D semiconductor device and structure
11063024, Dec 22 2012 MONLITHIC 3D INC.; Monolithic 3D Inc Method to form a 3D semiconductor device and structure
11063071, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure with waveguides
11087995, Dec 29 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11088050, Apr 09 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device with isolation layers
11088130, Jan 28 2014 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11094576, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Methods for producing a 3D semiconductor memory device and structure
11107721, Nov 18 2010 MONOLITHIC 3D INC. 3D semiconductor device and structure with NAND logic
11107808, Jan 28 2014 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11114427, Nov 07 2015 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor processor and memory device and structure
11114464, Oct 24 2015 MONOLITHIC 3D INC. 3D semiconductor device and structure
11121021, Nov 18 2010 Monolithic 3D Inc 3D semiconductor device and structure
11121246, Mar 11 2013 MONOLITHIC 3D INC. 3D semiconductor device and structure with memory
11133344, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure with image sensors
11158652, Apr 08 2019 MONOLITHIC 3D INC. 3D memory semiconductor devices and structures
11158674, Oct 11 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Method to produce a 3D semiconductor device and structure
11163112, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure with electromagnetic modulators
11164770, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Method for producing a 3D semiconductor memory device and structure
11164811, Apr 09 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device with isolation layers and oxide-to-oxide bonding
11164898, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure
11177140, Dec 29 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure
11211279, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Method for processing a 3D integrated circuit and structure
11217565, Dec 22 2012 MONOLITHIC 3D INC. Method to form a 3D semiconductor device and structure
11227897, Oct 11 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Method for producing a 3D semiconductor memory device and structure
11251149, Oct 10 2016 MONOLITHIC 3D INC. 3D memory device and structure
11257867, Oct 11 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with oxide bonds
11270055, Apr 15 2013 MONOLITHIC 3D INC. Automation for monolithic 3D devices
11296106, Apr 08 2019 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D memory semiconductor devices and structures
11296115, Oct 24 2015 MONOLITHIC 3D INC. 3D semiconductor device and structure
11309292, Dec 22 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers
11315980, Oct 11 2010 MONOLITHIC 3D INC. 3D semiconductor device and structure with transistors
11327227, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure with electromagnetic modulators
11329059, Oct 10 2016 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D memory devices and structures with thinned single crystal substrates
11341309, Apr 15 2013 MONOLITHIC 3D INC. Automation for monolithic 3D devices
11355380, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
11355381, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor memory device and structure
11374042, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D micro display semiconductor device and structure
11374118, Oct 12 2009 MONOLITHIC 3D INC. Method to form a 3D integrated circuit
11398569, Mar 12 2013 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure
11404466, Oct 13 2010 Monolithic 3D Inc Multilevel semiconductor device and structure with image sensors
11410912, Apr 09 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device with vias and isolation layers
11430667, Dec 29 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with bonding
11430668, Dec 29 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with bonding
11437368, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure with oxide bonding
11443971, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with memory
11469271, Oct 11 2010 MONOLITHIC 3D INC. Method to produce 3D semiconductor devices and structures with memory
11476181, Apr 09 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with metal layers
11482438, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Methods for producing a 3D semiconductor memory device and structure
11482439, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
11482440, Dec 16 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
11487928, Apr 15 2013 MONOLITHIC 3D INC.; Monolithic 3D Inc Automation for monolithic 3D devices
11495484, Nov 18 2010 MONOLITHIC 3D INC. 3D semiconductor devices and structures with at least two single-crystal layers
11508605, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor memory device and structure
11515413, Mar 11 2013 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with memory
11521888, Nov 18 2010 MONOLITHIC 3D INC. 3D semiconductor device and structure with high-k metal gate transistors
11569117, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with single-crystal layers
11574109, Apr 15 2013 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
11594473, Apr 09 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers and a connective path
11600667, Oct 11 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Method to produce 3D semiconductor devices and structures with memory
11605663, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure with image sensors and wafer bonding
11610802, Nov 18 2010 MONOLITHIC 3D INC. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
11615977, Nov 18 2010 MONOLITHIC 3D INC. 3D semiconductor memory device and structure
11616004, Apr 09 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers and a connective path
11694922, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure with oxide bonding
11694944, Apr 09 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers and a connective path
11711928, Oct 10 2016 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D memory devices and structures with control circuits
11720736, Apr 15 2013 Automation methods for 3D integrated circuits and devices
11735462, Nov 18 2010 MONOLITHIC 3D INC. 3D semiconductor device and structure with single-crystal layers
11735501, Apr 09 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers and a connective path
11763864, Apr 08 2019 MONOLITHIC 3D INC. 3D memory semiconductor devices and structures with bit-line pillars
11784082, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with bonding
11784169, Dec 22 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers
11804396, Nov 18 2010 MONOLITHIC 3D INC. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
11812620, Oct 10 2016 MONOLITHIC 3D INC. 3D DRAM memory devices and structures with control circuits
11854857, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
11855100, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure with oxide bonding
11855114, Oct 13 2010 MONOLITHIC 3D INC. Multilevel semiconductor device and structure with image sensors and wafer bonding
11862503, Nov 18 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
11869591, Oct 10 2016 MONOLITHIC 3D INC. 3D memory devices and structures with control circuits
11869915, Oct 13 2010 MONOLITHIC 3D INC.; Monolithic 3D Inc Multilevel semiconductor device and structure with image sensors and wafer bonding
11869965, Mar 11 2013 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with metal layers and memory cells
11881443, Apr 09 2012 MONOLITHIC 3D INC.; Monolithic 3D Inc 3D semiconductor device and structure with metal layers and a connective path
11901210, Nov 18 2010 MONOLITHIC 3D INC. 3D semiconductor device and structure with memory
11916045, Dec 22 2012 MONOLITHIC 3D INC. 3D semiconductor device and structure with metal layers
9892972, Oct 12 2009 Monolithic 3D Inc 3D semiconductor device and structure
Patent Priority Assignee Title
2915722,
3202948,
3430835,
3559282,
3560364,
3602982,
3615901,
3636358,
3716429,
3777227,
3780352,
3868565,
3922705,
3932932, Sep 16 1974 ITT Corporation Method of making multilayer printed circuit board
3997381, Jan 10 1975 Intel Corporation Method of manufacture of an epitaxial semiconductor layer on an insulating substrate
4028547, Jun 30 1975 Bell Telephone Laboratories, Incorporated X-ray photolithography
4070230, Jul 04 1974 Siemens Aktiengesellschaft Semiconductor component with dielectric carrier and its manufacture
4104418, Apr 19 1974 International Business Machines Corporation Glass layer fabrication
4131985, Aug 31 1976 ITT Industries, Inc. Thin silicon devices
4142004, Jan 22 1976 Bell Telephone Laboratories, Incorporated Method of coating semiconductor substrates
4196232, Dec 18 1975 RCA Corporation Method of chemically vapor-depositing a low-stress glass layer
4240195, Sep 15 1978 Bell Telephone Laboratories, Incorporated Dynamic random access memory
4246595, Mar 08 1977 Matsushita Electric Industrial Co., Ltd. Electronics circuit device and method of making the same
4249302, Dec 28 1978 NCR Corporation Multilayer printed circuit board
4251909, Jun 29 1976 U.S. Philips Corporation Method of manufacturing a target assembly for a camera tube
4262631, Oct 01 1979 Thin film deposition apparatus using an RF glow discharge
4393127, Sep 19 1980 International Business Machines Corporation Structure with a silicon body having through openings
4394401, Aug 08 1980 Fujitsu Limited Method of plasma enhanced chemical vapor deposition of phosphosilicate glass film
4401986, Dec 26 1979 Texas Instruments Incorporated Position sensor and system
4416054, Jul 01 1980 Micron Technology, Inc Method of batch-fabricating flip-chip bonded dual integrated circuit arrays
4500905, Sep 30 1981 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
4528072, May 24 1979 Fujitsu Limited Process for manufacturing hollow multilayer printed wiring board
4539068, Sep 20 1979 Fujitsu Limited Vapor phase growth method
4566037, Dec 20 1982 Nippon Kogaku K.K. Solid-state area imaging apparatus
4585991, Jun 03 1982 Texas Instruments Incorporated Solid state multiprobe testing apparatus
4604162, Jun 13 1983 MagnaChip Semiconductor, Ltd Formation and planarization of silicon-on-insulator structures
4612083, Jul 20 1984 NEC Corporation Process of fabricating three-dimensional semiconductor device
4617160, Nov 23 1984 Irvine Sensors Corporation Method for fabricating modules comprising uniformly stacked, aligned circuit-carrying layers
4618397, Dec 24 1982 Hitachi, Ltd. Method of manufacturing semiconductor device having a pressure sensor
4618763, Apr 12 1985 Grumman Aerospace Corporation Infrared focal plane module with stacked IC module body
4622632, Aug 18 1982 Board of Regents, University of Washington Data processing system having a pyramidal array of processors
4633438, Dec 19 1983 Hitachi, Ltd.; Hitachi Micro Computer Engineering Ltd. Stacked semiconductor memory
4637029, Jul 29 1983 Sharp Kabushiki Kaisha Semiconductor laser
4663559, Sep 17 1982 Field emission device
4684436, Oct 29 1986 International Business Machines Corp. Method of simultaneously etching personality and select
4693770, Jul 05 1985 Matsushita Electric Industrial Co., Ltd. Method of bonding semiconductor devices together
4702336, Aug 01 1985 TEVES, ALFRED, A CORP OF GERMANY Method and brake system for traction control
4702936, Sep 20 1984 Applied Materials Japan, Inc. Gas-phase growth process
4706166, Apr 25 1986 APROLASE DEVELOPMENT CO , LLC High-density electronic modules--process and product
4721938, Dec 22 1986 Delphi Technologies Inc Process for forming a silicon pressure transducer
4724328, Feb 12 1985 Siemens Aktiengesellschaft Lithographic apparatus for the production of microstructures
4761681, Sep 08 1982 Texas Instruments Incorporated Method for fabricating a semiconductor contact and interconnect structure using orientation dependent etching and thermomigration
4766670, Feb 02 1987 International Business Machines Corporation Full panel electronic packaging structure and method of making same
4784721, Feb 22 1988 Honeywell Inc. Integrated thin-film diaphragm; backside etch
4810673, Sep 18 1986 Texas Instruments Incorporated; TEXAS INSTRUMENTS INCORPORATED, 13500 NORTH CENTRAL EXPRESSWAY, DALLAS, TEXAS A CORP OF DE Oxide deposition method
4810889, Dec 27 1985 Canon Kabushiki Kaisha Fine circuit pattern drawing apparatus and method
4825277, Nov 17 1987 Freescale Semiconductor, Inc Trench isolation process and structure
4835765, Jan 17 1986 U S PHILIPS CORPORATION Arrangement for full-duplex data transmission over two-wire circuits
4841483, Dec 15 1986 Kabushiki Kaisha Toshiba Semiconductor memory
4849857, Oct 05 1987 Olin Corporation Heat dissipating interconnect tape for use in tape automated bonding
4855867, Feb 02 1987 International Business Machines Corporation Full panel electronic packaging structure
4857481, Mar 14 1989 Freescale Semiconductor, Inc Method of fabricating airbridge metal interconnects
4890157, Jan 31 1986 Texas Instruments Incorporated Integrated circuit product having a polyimide film interconnection structure
4892753, Dec 19 1986 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
4892842, Oct 29 1987 SCIENTIFIC IMAGING TECHNOLOGIES, INC Method of treating an integrated circuit
4897708, Jul 17 1986 VERTICAL CIRCUITS SOLUTIONS, INC Semiconductor wafer array
4919749, May 26 1989 IMS IONEN MIKROFABRIKATIONS SYSTEME GESELLSCHAFT M B H Method for making high resolution silicon shadow masks
4924589, May 16 1988 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Method of making and testing an integrated circuit
4928058, May 23 1989 The University of Rochester Electro-optic signal measurement
4934799, Nov 26 1987 Korea Electronics & Telecom. Research Multi-lens focussing arrangement for laser graphics imaging apparatus
4939568, Mar 20 1986 Fujitsu Limited Three-dimensional integrated circuit and manufacturing method thereof
4939694, Nov 03 1986 Hewlett-Packard Company Defect tolerant self-testing self-repairing memory system
4940916, Nov 06 1987 COMMISSARIAT A L ENERGIE ATOMIQUE Electron source with micropoint emissive cathodes and display means by cathodoluminescence excited by field emission using said source
4950987, Mar 03 1989 University of North Carolina at Charlotte Magneto-inductive sensor for performing tactile and proximity sensing
4952446, Feb 08 1983 Cornell Research Foundation, Inc. Ultra-thin semiconductor membranes
4954865, May 10 1988 STMICROELECTRONICS N V Integrated circuits
4954875, Jul 17 1986 VERTICAL CIRCUITS SOLUTIONS, INC Semiconductor wafer array with electrically conductive compliant material
4957882, Nov 25 1988 Mitsubishi Denki Kabushiki Kaisha Method for manufacturing semiconductor device
4965415, Mar 17 1988 Thorn EMI plc Microengineered diaphragm pressure switch
4966663, Sep 13 1988 IMS IONEN MIKROFABRIKATIONS SYSTEME GESELLSCHAFT M B H Method for forming a silicon membrane with controlled stress
4983251, Jun 20 1985 NXP B V Method of manufacturing semiconductor devices
4988423, Jun 19 1987 Matsushita Electric Industrial Co., Ltd. Method for fabricating interconnection structure
4990462, Apr 12 1989 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
4994336, May 31 1988 Siemens Aktiengesellschaft Method for manufacturing a control plate for a lithographic device
4994735, May 16 1988 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Flexible tester surface for testing integrated circuits
5000113, Dec 19 1986 Applied Materials, Inc Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
5008619, Nov 18 1988 Amp-Akzo Corporation Multilevel circuit board precision positioning
5010024, Mar 04 1987 Advanced Micro Devices, Inc. Passivation for integrated circuit structures
5020219, May 16 1988 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Method of making a flexible tester surface for testing integrated circuits
5034685, May 16 1988 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Test device for testing integrated circuits
5045921, Dec 26 1989 Motorola, Inc. Pad array carrier IC device using flexible tape
5051326, May 26 1989 AT&T Bell Laboratories X-Ray lithography mask and devices made therewith
5062689, Aug 21 1990 Electrostatically actuatable light modulating device
5064275, Jun 19 1987 Victor Company of Japan, Ltd.; Tokai Company Liquid crystal display device having optically activatable switch means
5070026, Jun 26 1989 Spire Corporation Process of making a ferroelectric electronic component and product
5071510, Sep 22 1989 Robert Bosch GmbH Process for anisotropic etching of silicon plates
5087585, Jul 11 1989 NEC Corporation Method of stacking semiconductor substrates for fabrication of three-dimensional integrated circuit
5098865, Nov 02 1989 VERSUM MATERIALS US, LLC High step coverage silicon oxide thin films
5103557, May 16 1988 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Making and testing an integrated circuit using high density probe points
5110373, Sep 13 1988 IMS IONEN MIKROFABRIKATIONS SYSTEME GESELLSCHAFT M B H Silicon membrane with controlled stress
5110712, Jun 12 1987 AVAGO TECHNOLOGIES GENERAL IP SINGAPORE PTE LTD ; AVAGO TECHNOLOGIES GENERAL IP PTE LTD Incorporation of dielectric layers in a semiconductor
5111278, Mar 27 1991 INTEGRATED SYSTEM ASSEMBLIES CORPORATION, A CORP OF DE Three-dimensional multichip module systems
5116777, Apr 30 1990 SGS-Thomson Microelectronics, Inc.; SGS-Thomson Microelectronics, Inc Method for fabricating semiconductor devices by use of an N+ buried layer for complete isolation
5117282, Oct 29 1990 Intersil Corporation Stacked configuration for integrated circuit devices
5119164, Jul 25 1989 Advanced Micro Devices, Inc. Avoiding spin-on-glass cracking in high aspect ratio cavities
5130894, Nov 26 1990 AT&T Bell Laboratories Three-dimensional circuit modules
5132244, Dec 21 1988 AT&T Bell Laboratories Growth-modified thermal oxidation for thin oxides
5144142, May 19 1989 Fujitsu Semiconductor Limited Blanking aperture array, method for producing blanking aperture array, charged particle beam exposure apparatus and charged particle beam exposure method
5151775, Oct 07 1991 Maxim Integrated Products, Inc Integrated circuit device having improved substrate capacitance isolation
5156909, Nov 28 1989 BATTELLE MEMORIAL INSTITUTE, BOX 999, BATTELLE BOULEVARD, RICHLAND, WA 99352 Thick, low-stress films, and coated substrates formed therefrom, and methods for making same
5166962, Jan 08 1991 Kabushiki Kaisha Toshiba X-ray mask, method of manufacturing the same, and exposure method using the same
5169805, Jan 28 1991 International Business Machines Corporation Method of resiliently mounting an integrated circuit chip to enable conformal heat dissipation
5188706, Mar 18 1989 Kabushiki Kaisha Toshiba Method of manufacturing an X-ray exposure mask and device for controlling the internal stress of thin films
5198965, Dec 18 1991 INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NY Free form packaging of specific functions within a computer system
5202754, Sep 13 1991 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
5203731, Jul 18 1990 GLOBALFOUNDRIES Inc Process and structure of an integrated vacuum microelectronic device
5225771, May 16 1988 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Making and testing an integrated circuit using high density probe points
5236118, May 12 1992 Acacia Research Group LLC Aligned wafer bonding
5240458, Mar 29 1991 Valeo Torsion damper including a pre-damper having a housing with hooked tongues, in particular for a motor vehicle
5241454, Jan 22 1992 International Business Machines Corporation Mutlilayered flexible circuit package
5245277, Aug 04 1992 XILINX, Inc.; Xilinx, Inc Clamp for testing used integrated circuit devices
5259247, Feb 28 1991 Robert Bosch GmbH Sensor
5262341, May 19 1989 Fujitsu Semiconductor Limited Blanking aperture array and charged particle beam exposure method
5262351, Aug 21 1990 Thomson-CSF Process for manufacturing a multilayer integrated circuit interconnection
5270261, Sep 13 1991 International Business Machines Corporation Three dimensional multichip package methods of fabrication
5273940, Jun 15 1992 Freescale Semiconductor, Inc Multiple chip package with thinned semiconductor chips
5274270, Dec 17 1990 nChip, Inc. Multichip module having SiO2 insulating layer
5278839, Apr 18 1990 Elpida Memory, Inc Semiconductor integrated circuit having self-check and self-repair capabilities
5279865, Jun 28 1991 HEWLETT-PACKARD DEVELOPMENT COMPANY, L P High throughput interlevel dielectric gap filling process
5283107, May 03 1991 International Business Machines Corporation Modular multilayer interwiring structure
5284796, Sep 10 1991 Fujitsu Limited Process for flip chip connecting a semiconductor chip
5284804, Dec 31 1991 TEXAS INSTRUMENTS INCORPORATED, A DE CORP Global planarization process
5293457, May 15 1989 Mitsubishi Denki Kabushiki Kaisha Neural network integrated circuit device having self-organizing function
5321884, Jan 22 1992 International Business Machines Corporation Multilayered flexible circuit package
5323035, Oct 13 1992 ELM TECHNOLOGY CORPORATION A CALIFORNIA CORP Interconnection structure for integrated circuits and method for making same
5323060, Jun 02 1993 Round Rock Research, LLC Multichip module having a stacked chip arrangement
5324687, Oct 16 1992 Lockheed Martin Corporation Method for thinning of integrated circuit chips for lightweight packaged electronic systems
5338975, Jul 02 1990 Lockheed Martin Corporation High density interconnect structure including a spacer structure and a gap
5343366, Jun 24 1992 International Business Machines Corporation Packages for stacked integrated circuit chip cubes
5347428, Dec 03 1992 TALON RESEARCH, LLC Module comprising IC memory stack dedicated to and structurally combined with an IC microprocessor chip
5354695, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5357473, Sep 08 1990 Mitsubishi Denki Kabushiki Kaisha Semiconductor storage system including defective bit replacement
5358909, Feb 27 1991 Nippon Steel Corporation Method of manufacturing field-emitter
5363021, Jul 12 1993 Cornell Research Foundation, Inc Massively parallel array cathode
5374564, Sep 18 1991 Commissariat a l'Energie Atomique Process for the production of thin semiconductor material films
5374940, Jun 04 1991 The University of Rochester System for operating a plurality of graphics displays from a single computer
5385632, Jun 25 1993 AT&T Laboratories Method for manufacturing integrated semiconductor devices
5385909, Nov 22 1993 Wyeth Heterocyclic esters of rapamycin
5397747, Aug 19 1993 International Business Machines Corporation Vertical chip mount memory package and method
5399505, Jul 23 1993 NXP, B V F K A FREESCALE SEMICONDUCTOR, INC Method and apparatus for performing wafer level testing of integrated circuit dice
5420458, Oct 30 1991 Rohm Co., Ltd. Semiconductor device and method of manufacture thereof
5424920, May 15 1992 APROLASE DEVELOPMENT CO , LLC Non-conductive end layer for integrated stack of IC chips
5426072, Jan 21 1993 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
5426363, Apr 26 1993 Kabushiki Kaisha Honda Denshi Giken Object detecting device
5432444, Oct 23 1990 Kaisei Engineer Co., Ltd. Inspection device having coaxial induction and exciting coils forming a unitary coil unit
5432681, Apr 30 1993 The United States of America as represented by the Secretary of the Air Density improvement for planar hybrid wafer scale integration
5432729, Apr 23 1993 APROLASE DEVELOPMENT CO , LLC Electronic module comprising a stack of IC chips each interacting with an IC chip secured to the stack
5432999, Aug 20 1992 Integrated circuit lamination process
5434500, Jul 26 1993 Magnetic field generator and detector position indicator
5448106, Aug 20 1991 Kabushiki Kaisha Toshiba Thin semiconductor integrated circuit device assembly
5450603, Dec 18 1992 Xerox Corporation SIMD architecture with transfer register or value source circuitry connected to bus
5451489, May 16 1988 Elm Technology Corporation Making and testing an integrated circuit using high density probe points
5453404, Oct 13 1992 Elm Technology Corporation Method for making an interconnection structure for integrated circuits
5457879, Jan 04 1994 Freescale Semiconductor, Inc Method of shaping inter-substrate plug and receptacles interconnects
5463246, Dec 29 1988 Sharp Kabushiki Kaisha Large scale high density semiconductor apparatus
5468606, Sep 18 1989 HEALTHCARE FINANCIAL SOLUTIONS, LLC, AS SUCCESSOR ADMINISTRATIVE AGENT Devices for detection of an analyte based upon light interference
5470693, Feb 18 1992 International Business Machines Corporation Method of forming patterned polyimide films
5473433, Dec 07 1993 American Telephone and Telegraph Company Method of high yield manufacture of VLSI type integrated circuit devices by determining critical surface characteristics of mounting films
5476813, Nov 15 1993 Kabushiki Kaisha Toshiba Method of manufacturing a bonded semiconductor substrate and a dielectric isolated bipolar transistor
5478781, Jun 21 1993 International Business Machines Corporation Polyimide-insulated cube package of stacked semiconductor device chips
5480842, Apr 11 1994 AT&T Corp Method for fabricating thin, strong, and flexible die for smart cards
5481133, Mar 21 1994 United Microelectronics Corporation Three-dimensional multichip package
5489554, Jul 21 1992 TALLY LOCH INVESTMENTS LLC Method of making a 3-dimensional circuit assembly having electrical contacts that extend through the IC layer
5502667, Sep 13 1993 International Business Machines Corporation Integrated multichip memory module structure
5512397, May 16 1988 Elm Technology Corporation Stepper scanner discretionary lithography and common mask discretionary lithography for integrated circuits
5514628, May 26 1995 Texas Instruments Incorporated Two-step sinter method utilized in conjunction with memory cell replacement by redundancies
5517457, Dec 21 1993 Kabushiki Kaisha Toshiba Semiconductor memory device
5527645, Apr 21 1993 SYNOPSYS MERGER HOLDINGS LLC Systematic method for production of phase-shifting photolithographic masks
5529829, Sep 30 1993 Minnesota Mining and Manufacturing Company Array of conductive pathways
5534465, Jan 10 1995 Bell Semiconductor, LLC Method for making multichip circuits using active semiconductor substrates
5555212, Sep 19 1994 Kabushiki Kaisha Toshiba Method and apparatus for redundancy word line replacement in a semiconductor memory device
5563084, Sep 22 1994 Acacia Research Group LLC Method of making a three-dimensional integrated circuit
5571741, Sep 30 1994 Elm Technology Corporation Membrane dielectric isolation IC fabrication
5572689, Mar 31 1993 HANGER SOLUTIONS, LLC Data processing system and method thereof
5574729, Sep 29 1990 Renesas Electronics Corporation Redundancy circuit for repairing defective bits in semiconductor memory device
5577050, Dec 28 1994 AVAGO TECHNOLOGIES GENERAL IP SINGAPORE PTE LTD Method and apparatus for configurable build-in self-repairing of ASIC memories design
5580687, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Contact stepper printed lithography method
5581498, Aug 13 1993 TALON RESEARCH, LLC Stack of IC chips in lieu of single IC chip
5582939, Jul 10 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method for fabricating and using defect-free phase shifting masks
5583688, Dec 21 1993 Texas Instruments Incorporated Multi-level digital micromirror device
5592007, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation transistor fabrication
5592018, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5595933, Feb 25 1991 U.S. Philips Corporation Method for manufacturing a cathode
5606186, Aug 09 1995 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit including opposed substrates of different semiconductor materials and method of manufacturing the semiconductor integrated circuit
5615163, Dec 21 1994 Kabushiki Kaisha Toshiba Semiconductor memory device
5620915, Jul 12 1995 United Microelectronics Corporation Method for bypassing null-code sections for read-only memory by access line control
5627112, Nov 13 1995 DRS Network & Imaging Systems, LLC Method of making suspended microstructures
5629137, May 16 1988 Elm Technology Corporation Method of repairing an integrated circuit structure
5633209, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of forming a circuit membrane with a polysilicon film
5637536, Aug 13 1993 Thomson-CSF Method for interconnecting semiconductor chips in three dimensions, and component resulting therefrom
5637907, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Three dimensional semiconductor circuit structure with optical interconnection
5654127, May 16 1988 Elm Technology Corporation Method of making a tester surface with high density probe points
5654220, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of making a stacked 3D integrated circuit structure
5656552, Jun 24 1996 National Security Agency Method of making a thin conformal high-yielding multi-chip module
5661339, Sep 16 1992 Thin multichip module
5675185, Sep 29 1995 International Business Machines Corporation Semiconductor structure incorporating thin film transistors with undoped cap oxide layers
5694588, May 07 1993 Texas Instruments Incorporated Apparatus and method for synchronizing data transfers in a single instruction multiple data processor
5715144, Dec 30 1994 International Business Machines Corporation Multi-layer, multi-chip pyramid and circuit board structure
5719438, Sep 28 1994 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
5725995, May 16 1988 Elm Technology Corporation Method of repairing defective traces in an integrated circuit structure
5733814, Apr 03 1995 Aptek Industries, Inc. Flexible electronic card and method
5736448, Dec 04 1995 General Electric Company Fabrication method for thin film capacitors
5745076, Sep 05 1996 Northrop Grumman Systems Corporation Transmit/receive module for planar active apertures
5745673, Sep 21 1995 Texas Instruments Incorporated Memory architecture for solid state discs
5750211, May 17 1991 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
5753536, Aug 29 1994 Matsushita Electric Industrial Co., Ltd. Semiconductor device and associated fabrication method
5760478, Aug 20 1996 GLOBALFOUNDRIES Inc Clock skew minimization system and method for integrated circuits
5763943, Jan 29 1996 International Business Machines Corporation Electronic modules with integral sensor arrays
5773152, Oct 13 1994 NEC Electronics Corporation SOI substrate having a high heavy metal gettering effect for semiconductor device
5777379, Aug 18 1995 Tessera, Inc Semiconductor assemblies with reinforced peripheral regions
5786116, Feb 14 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Atom lithographic mask having diffraction grating aligned with primary mask pattern
5786628, Sep 28 1994 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic modules surface and associated module packaging
5786629, May 14 1992 Reveo, Inc 3-D packaging using massive fillo-leaf technology
5787445, Mar 07 1996 E DIGITAL CORPORATION Operating system including improved file management for use in devices utilizing flash memory as main memory
5793115, Sep 30 1993 ALANZOR RESEARCH A B LLC Three dimensional processor using transferred thin film circuits
5818748, Nov 21 1995 International Business Machines Corporation Chip function separation onto separate stacked chips
5831280, Sep 23 1994 Advanced Micro Devices, Inc. Device and method for programming a logic level within an integrated circuit using multiple mask layers
5834162, Oct 28 1996 Regents of the University of California Process for 3D chip stacking
5834334, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of forming a multi-chip module from a membrane circuit
5840593, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5847929, Jun 28 1996 IBM Corporation Attaching heat sinks directly to flip chips and ceramic chip carriers
5856695, Oct 30 1991 INTERSIL AMERICAS LLC BiCMOS devices
5868949, Nov 14 1994 Hitachi, Ltd. Metalization structure and manufacturing method thereof
5869354, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of making dielectrically isolated integrated circuit
5870176, Jun 18 1997 National Technology & Engineering Solutions of Sandia, LLC Maskless lithography
5880010, Jul 12 1994 Sun Microsystems, Inc. Ultrathin electronics
5882532, May 31 1996 Agilent Technologies Inc Fabrication of single-crystal silicon structures using sacrificial-layer wafer bonding
5892271, Apr 18 1995 Godo Kaisha IP Bridge 1 Semiconductor device
5902118, Jul 05 1994 Infineon Technologies AG Method for production of a three-dimensional circuit arrangement
5907178, Jan 29 1996 International Business Machines Corporation Multi-view imaging apparatus
5915167, Apr 04 1997 ELM 3DS INNOVATONS, LLC Three dimensional structure memory
5940031, Sep 05 1996 Northrop Grumman Systems Corporation Transmit/receive module for planar active apertures
5946559, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
5985693, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD High density three-dimensional IC interconnection
5998069, Feb 27 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Electrically programmable photolithography mask
6008126, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
6008530, May 29 1997 NEC Corporation Polyhedral IC package for making three dimensionally expandable assemblies
6017658, May 13 1992 The United States of America as represented by the Secretary of the Navy Lithographic mask and method for fabrication thereof
6020257, Jun 07 1995 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane dielectric isolation IC fabrication
6023098, Jun 29 1995 Fujitsu Limited Semiconductor device having terminals for heat radiation
6027958, Jul 11 1996 CALLAHAN CELLULAR L L C Transferred flexible integrated circuit
6045625, Dec 06 1996 Texas Instruments Incorporated Buried oxide with a thermal expansion matching layer for SOI
6050832, Aug 07 1998 Fujitsu Limited Chip and board stress relief interposer
6069834, Mar 08 1996 Renesas Electronics Corporation Semiconductor IC device having a memory and a logic circuit implemented with a single chip
6084284, Nov 18 1994 Integrated circuit including inverted dielectric isolation
6087284, May 24 1997 SCHOTT AG Aluminosilicate glass for flat display devices
6092174, Jun 01 1998 BENHOV GMBH, LLC Dynamically reconfigurable distributed integrated circuit processor and method
6097096, Jul 11 1997 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
6133626, Sep 24 1998 Semiconductor Components Industries, LLC Three dimensional packaging configuration for multi-chip module assembly
6133640, Apr 04 1997 ELM 3DS INNOVATONS, LLC Three-dimensional structure memory
6154809, Nov 10 1995 Nippon Telegraph & Telephone Corporation Mathematical morphology processing method
6166711, Dec 09 1996 Sony Corporation Plasma addressed electro-optical display
6194245, Mar 18 1996 Sony Corporation Method for making thin film semiconductor
6197456, Jan 19 1999 Bell Semiconductor, LLC Mask having an arbitrary complex transmission function
6208545, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure memory
6230233, Sep 13 1991 SanDisk Technologies LLC Wear leveling techniques for flash EEPROM systems
6236602, May 25 2000 Dynamic configuration of storage arrays
6239495, Jul 29 1998 Kabushiki Kaisha Toshiba Multichip semiconductor device and memory card
6261728, Oct 19 1998 Vanguard International Semiconductor Corporation Mask image scanning exposure method
6288561, May 16 1988 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
6294909, Sep 30 1994 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Electro-magnetic lithographic alignment method
6300935, Apr 20 1999 SAMSUNG ELECTRONICS CO , LTD Image interpolation circuit architecture and method for fast bi-cubic interpolation of image information
6301653, Oct 14 1998 Macom Technology Solutions Holdings, Inc Processor containing data path units with forwarding paths between two data path units and a unique configuration or register blocks
6320593, Apr 20 1999 AVAGO TECHNOLOGIES GENERAL IP SINGAPORE PTE LTD Method of fast bi-cubic interpolation of image information
6335491, Feb 08 2000 Bell Semiconductor, LLC Interposer for semiconductor package assembly
6355976, May 14 1992 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
6392304, Nov 12 1998 CHIP PACKAGING SOLUTIONS LLC Multi-chip memory apparatus and associated method
6417027, Jun 10 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT High density stackable and flexible substrate-based devices and systems and methods of fabricating
6437990, Mar 20 2000 Bell Semiconductor, LLC Multi-chip ball grid array IC packages
6445006, Dec 20 1995 NANTERO, INC Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
6509639, Jul 27 2001 Three-dimensional stacked semiconductor package
6518073, Jan 25 1996 Kabushiki Kaisha Toshiba Method for testing semiconductor memory devices, and apparatus and system for testing semiconductor memory devices
6551857, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure integrated circuits
6563224, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure integrated circuit
6617671, Jun 10 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT High density stackable and flexible substrate-based semiconductor device modules
6632706, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure integrated circuit fabrication process
6682981, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Stress controlled dielectric integrated circuit fabrication
6707160, Jun 05 2000 Kabushiki Kaisha Toshiba Semiconductor device using substrate having cubic structure and method of manufacturing the same
6713327, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Stress controlled dielectric integrated circuit fabrication
6714625, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Lithography device for semiconductor circuit pattern generation
6734539, Dec 27 2000 Lucent Technologies Inc.; Lucent Technologies Inc Stacked module package
6740964, Nov 17 2000 LAPIS SEMICONDUCTOR CO , LTD Semiconductor package for three-dimensional mounting, fabrication method thereof, and semiconductor device
6747347, Aug 30 2001 Micron Technology, Inc.; Micron Technology, Inc Multi-chip electronic package and cooling system
6765279, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane 3D IC fabrication
6838896, May 16 1988 Elm Technology Corporation Method and system for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
6867486, Aug 30 2001 Hynix Semiconductor Inc. Stack chip module with electrical connection and adhesion of chips through a bump for improved heat release capacity
6873057, Feb 14 2003 United Microelectrtonics Corp. Damascene interconnect with bi-layer capping film
6891387, May 16 1988 Elm Technology Corporation System for probing, testing, burn-in, repairing and programming of integrated circuits
6894392, Jun 02 1998 Thin Film Electronics ASA Scaleable integrated data processing device
6979895, Mar 10 1997 Micron Technology, Inc. Semiconductor assembly of stacked substrates and multiple semiconductor dice
7106646, Oct 09 2003 Round Rock Research, LLC Circuit and method for controlling a clock synchronizing circuit for low power refresh operation
7138295, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Method of information processing using three dimensional integrated circuits
7176545, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Apparatus and methods for maskless pattern generation
7176579, Dec 27 2002 Renesas Technology Corp. Semiconductor module
7193239, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure integrated circuit
7223696, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Methods for maskless lithography
7230316, Dec 27 2002 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having transferred integrated circuit
7242012, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Lithography device for semiconductor circuit pattern generator
7307020, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane 3D IC fabrication
7354798, Dec 20 2002 International Business Machines Corporation Three-dimensional device fabrication method
7385835, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane 3D IC fabrication
7402897, Aug 08 2003 Elm Technology Corporation Vertical system integration
7474004, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure memory
7479694, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane 3D IC fabrication
7485571, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of making an integrated circuit
7485955, Mar 22 2004 Samsung Electronics Co., Ltd. Semiconductor package having step type die and method for manufacturing the same
7489025, Nov 16 2004 GLOBALFOUNDRIES U S INC Device and method for fabricating double-sided SOI wafer scale package with optical through via connections
7504732, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure memory
7521785, Dec 23 2003 Tessera, Inc Packaged systems with MRAM
7550805, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Stress-controlled dielectric integrated circuit
7615837, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Lithography device for semiconductor circuit pattern generation
7670893, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Membrane IC fabrication
7705466, Apr 04 1997 ELM 3DS INNOVATONS, LLC Three dimensional multi layer memory and control logic integrated circuit structure
7736948, Nov 11 2005 Koninklijke Philips Electronics N V Method of manufacturing a plurality of semiconductor devices and carrier substrate
7763948, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Flexible and elastic dielectric integrated circuit
7820469, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Stress-controlled dielectric integrated circuit
7911012, Apr 08 1992 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Flexible and elastic dielectric integrated circuit
8410617, Apr 04 1997 Elm Technology Corporation; ELM 3DS INNOVATONS, LLC Three dimensional structure memory
20010002711,
20010013423,
20010014051,
20020127775,
20020132465,
20030011032,
20030173608,
20030184976,
20030197253,
20030218182,
20030223535,
20040000708,
20040021212,
20040070063,
20040140547,
20040197951,
20040245617,
20040251557,
20050023656,
20050051841,
20050051904,
20050082641,
20060231927,
20070035033,
20080237591,
20080251941,
20080254572,
20080284611,
20080302559,
20090014897,
20090067210,
20090174082,
20090175104,
20090194768,
20090218700,
20090219742,
20090219743,
20090219744,
20090219772,
20090230501,
20100148371,
20100171224,
20100171225,
20100172197,
20100173453,
20110042829,
DE3233195,
EP189976,
EP201380,
EP224418,
EP238089,
EP314437,
EP419898,
EP455455,
EP487302,
EP503816,
EP518283,
EP518774,
EP526551,
EP531723,
EP554063,
EP555252,
EP703618,
EP703619,
EP731525,
FR2641129,
GB2125168,
GB2215168,
JP10107065,
JP10209371,
JP1157561,
JP1199476,
JP2027600,
JP2037655,
JP2082564,
JP2239627,
JP261001,
JP3127816,
JP3174715,
JP3284871,
JP4010649,
JP4042957,
JP4076946,
JP4083371,
JP4107964,
JP4196263,
JP456956,
JP5109977,
JP5283607,
JP60126871,
JP6074643,
JP6130059,
JP62272556,
JP63076484,
JP63229862,
JP8017962,
JP9152979,
RE34893, Jun 08 1988 Nippondenso Co., Ltd. Semiconductor pressure sensor and method of manufacturing same
RE36623, Dec 19 1986 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
RE37637, Apr 19 1996 Lucent Technologies Inc. Smart cards having thin die
WO9413121,
WO9509438,
WO9641204,
WO9641624,
WO3078305,
WO8910255,
WO9009093,
WO9105366,
WO9203848,
WO9217901,
WO9641264,
WO9819337,
////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Nov 08 2014Elm Technology Corporation3DS IP HOLDINGS LLCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0342650871 pdf
Nov 10 2014LEEDY, GLENN J Elm Technology CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0341350627 pdf
Nov 20 2014LEEDY, GLENN J Elm Technology CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0342210916 pdf
Nov 20 20143DS IP HOLDINGS LLCELM 3DS INNOVATONS, LLCCHANGE OF NAME SEE DOCUMENT FOR DETAILS 0344760994 pdf
Date Maintenance Fee Events
Feb 13 2023EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Jan 06 20184 years fee payment window open
Jul 06 20186 months grace period start (w surcharge)
Jan 06 2019patent expiry (for year 4)
Jan 06 20212 years to revive unintentionally abandoned end. (for year 4)
Jan 06 20228 years fee payment window open
Jul 06 20226 months grace period start (w surcharge)
Jan 06 2023patent expiry (for year 8)
Jan 06 20252 years to revive unintentionally abandoned end. (for year 8)
Jan 06 202612 years fee payment window open
Jul 06 20266 months grace period start (w surcharge)
Jan 06 2027patent expiry (for year 12)
Jan 06 20292 years to revive unintentionally abandoned end. (for year 12)