A method of etching a dielectric layer on a substrate with high etching selectivity, low etch rate microloading, and high etch rates is described. In the method, the substrate is placed in a process zone, and a plasma is formed from process gas introduced into the process zone. The process gas comprises (i) fluorocarbon gas for etching the dielectric layer and for forming passivating deposits on the substrate, (ii) carbon-oxygen gas for enhancing formation of the passivating deposits, and (iii) nitrogen-containing gas for etching the passivating deposits on the substrate. The volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected to provide a dielectric to resist etching selectivity ratio of at least about 10:1, an etch rate microloading of <10%, and a dielectric etch rate of at least about 100 nm/min. Preferably, the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected so that the rate of formation of passivating deposits on the sidewalls of the freshly etched features is approximately equal to the rate of removal of the passivating deposits.

Patent
   5843847
Priority
Apr 29 1996
Filed
Apr 29 1996
Issued
Dec 01 1998
Expiry
Apr 29 2016
Assg.orig
Entity
Large
265
14
EXPIRED
34. A method of etching a dielectric layer on a substrate, the dielectric layer comprising one or more of oxide and nitride layers, the method comprising the steps of:
(a) placing the substrate in a process zone;
(b) introducing process gas into the process zone, the process gas comprising (i) CHF3, (ii) C4 F8, (iii) CO, (iv) N2, and (iv) inert gas; and
(c) forming a plasma from the process gas introduced into the process zone to etch the dielectric layer on the substrate.
40. A method of etching a dielectric layer on an underlayer on a substrate, the dielectric layer comprising one or more of oxide and nitride layers, the method comprising the steps of:
(a) placing the substrate in a process zone;
(b) introducing process gas into the process zone, the process gas comprising (i) fluorocarbon gas, (ii) CO gas, and (iii) nitrogen gas; and
(c) forming a plasma from the process gas in the process zone to etch the dielectric layer with the formation of passivating deposits on the substrate while simultaneously etching the passivating deposits, for enhanced control of microloading and dielectric layer to underlayer etching selectivity.
46. A method of etching a dielectric layer on an underlayer on a substrate, the dielectric layer comprising one or more of oxide and nitride layers, the method comprising the steps of:
(a) placing the substrate in a process zone;
(b) introducing process gas into the process zone, the process gas comprising (i) fluorine-containing gas, (ii) CO gas, and (iii) nitrogen gas; and
(c) forming a plasma from the process gas in the process zone to etch the dielectric layer with the formation of passivating deposits on the substrate while simultaneously etching the passivating deposits, for enhanced control of microloading and dielectric layer to underlayer etching selectivity.
26. A method of selectively etching a dielectric layer on a substrate, the method comprising the steps of:
(a) placing the substrate in a process zone;
(b) introducing process gas into the process zone, the process gas comprising (i) first fluorocarbon gas selected from the group consisting of CHF3, CH3 F, C2 HF5, CH2 F2, and C2 H4 F2, (ii) second fluorocarbon gas selected from the group consisting of CF4, C2 F6, C3 F8, C4 F8 and C4 F10, (ii) carbon-oxygen gas, (iii) nitrogen, and (iv) inert gas; and
(c) forming a plasma from the process gas introduced into the process zone to etch the dielectric layer on the substrate with high etching selectivity.
1. A method of selectively etching a substrate having a dielectric layer with a resist material thereon, the method comprising the steps of:
(a) placing the substrate in a process zone;
(b) forming a plasma from a process gas introduced into the process zone, the process gas comprising (i) fluorocarbon gas for etching the dielectric layer and for forming passivating deposits on the substrate, (ii) carbon-oxygen gas to enhance formation of the passivating deposits, and (iii) nitrogen-containing gas for etching the passivating deposits formed on the substrate,
wherein the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected to provide a dielectric to resist etching selectivity ratio of at least about 10:1, an etch rate microloading of less than about 10%, and a dielectric etch rate of at least about 100 nm/min.
17. A method of selectively etching a substrate having (i) a dielectric layer, (ii) an underlayer below the dielectric layer, and (iii) a resist material on the dielectric layer, the method comprising the steps of:
(a) placing the substrate in a process zone;
(b) introducing process gas into the process zone, the process gas comprising (i) fluorocarbon gas for etching the dielectric layer and for forming passivating deposits on the substrate, (ii) carbon-oxygen gas for enhancing formation of the passivating deposits, (iii) nitrogen for etching the passivating deposits formed on the substrate, and (iv) inert gas capable of sputtering material from the substrate; and
(c) forming a plasma from the process gas introduced into the process zone to etch the dielectric layer on the substrate at an etch rate of at least about 100 nm/min, with a dielectric to resist etching selectivity ratio of at least about 10:1, and a dielectric to underlayer etching selectivity ratio of at least about 20:1.
2. The method of claim 1, wherein the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected so that the rate of formation of passivating deposits is approximately equal to the rate of removal of the passivating deposits.
3. The method of claim 1, wherein the substrate further comprises an underlayer below the dielectric layer, the underlayer is selected from the group consisting of a polysilicon, monocrystalline silicon, titanium silicide, diffusion barrier, or anti-reflective layer, and wherein the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected to provide a dielectric to underlayer etching selectivity ratio of at least about 20:1.
4. The method of claim 1, wherein the process gas etches features having sidewalls in the dielectric layer, and wherein the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected so that the sidewalls of the etched features form angles of at least about 85° with a surface of the dielectric layer.
5. The method of claim 1, wherein the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is from about 7:8:4 to about 3:4:4.
6. The method of claim 4, wherein the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is from about 6:7:4 to about 4:5:4.
7. The method of claim 1, wherein the fluorocarbon gas comprises (i) first fluorocarbon gas containing hydrogen, and (ii) second fluorocarbon gas absent hydrogen.
8. The method of claim 7, wherein the first fluorocarbon gas is selected from the group consisting of CHF3, CH3 F, C2 HF5, CH2 F2, C2 H4 F2, and mixtures thereof.
9. The method of claim 7, wherein the second fluorocarbon gas selected from the group consisting of CF4, C2 F6, C3 F8, C4 F8, C4 F10, and mixtures thereof.
10. The method of claim 1, wherein nitrogen-containing gas is absent fluorine, and is selected from the group consisting of N2, N2 O, NOx in which x is from about 1 to about 2, and mixtures thereof.
11. The method of claim 1, wherein the flow rate of the carbon-oxygen gas is less than or equal to the flow rate of the fluorocarbon gas.
12. The method of claim 1, wherein inert gas is added to the process gas, the inert gas capable of being activated by the plasma to form sputtering ions that sputter material from the substrate.
13. The method of claim 12, wherein the volumetric flow ratio of the process gas to inert gas is from about 3:1 to about 1:2.
14. The method of claim 12, wherein the inert gas is selected from the group consisting of argon, xenon, neon, krypton, and helium.
15. The method of claim 1, wherein the dielectric layer is selected from the group consisting of silicon dioxide, undoped silicate glass, phophosilicate glass, borophosphosilicate glass, or mixtures thereof.
16. The method of claim 1, wherein the plasma is formed by applying an RF voltage at a power level of from about 100 Watts to about 2000 Watts to process electrodes in the process zone.
18. The method of claim 17, wherein the volumetric flow of flurocarbon gas to nitrogen gas is from about 5:1 to about 1:5.
19. The method of claim 17, wherein the volumetric flow of flurocarbon gas to nitrogen gas is from about 3:1 to about 1:3.
20. The method of claim 17, wherein the volumetric flow rates of the fluorocarbon, carbon-oxygen, nitrogen, and inert gas are selected so that the process gas deposits passivating deposits on the substrate at a rate that is approximately equal to the rate of removal of the passivating deposits.
21. The method of claim 17, wherein the underlayer comprising at least one material selected from the group consisting of polysilicon, monocrystalline silicon, titanium silicide, and silicon nitride.
22. The method of claim 17, wherein the fluorocarbon gas comprises (i) first fluorocarbon gas containing hydrogen, and (ii) second fluorocarbon gas absent hydrogen.
23. The method of claim 22, wherein the first fluorocarbon gas is selected from the group consisting of CH3 F, CHF3, C2 HF5, CH2 F2, C2 H4 F2, and mixtures thereof.
24. The method of claim 22, wherein the second fluorocarbon gas is selected from the group consisting of CF4, C2 F6, C3 F8, C4 F8, C4 F10 and mixtures thereof.
25. The method of claim 17, wherein the inert gas is selected from the group consisting of argon, xenon, neon, krypton, and helium.
27. The method of claim 26, wherein the volumetric flow ratio of fluorocarbon:nitrogen is from about 3:1 to about 1:3.
28. The method of claim 26, wherein the volumetric flow ratio of fluorocarbon:nitrogen is from about 2:1 to about 1:2.
29. The method of claim 26, wherein the volumetric flow ratio of the first fluorocarbon gas to the second flurocarbon gas is from about 1:1 to about 10:1.
30. The method of claim 26, wherein the volumetric flow ratio of the first fluorocarbon gas to the second flurocarbon gas is from about 1:1 to about 6:1.
31. The method of claim 26, wherein the flow rate of the carbon-oxygen gas is less than or equal to the combined flow rates of the first and second fluorocarbon gases.
32. The method of claim 26, wherein the inert gas is selected from the group consisting of argon, xenon, neon, krypton, and helium.
33. The method of claim 26, wherein the dielectric layer is selected from the group consisting of silicon dioxide, undoped silicate glass, phophosilicate glass, borophosphosilicate glass, or mixtures thereof.
35. The method of claim 34, wherein the volumetric flow ratio of CHF3 to C4 F8 is from about 1:1 to about 10:1.
36. The method of claim 34, wherein the volumetric flow ratio of CHF3 to C4 F8 is from about 1:1 to about 6:1.
37. The method of claim 34, wherein the ratio of the combined CHF3 and C4 F8 flow rate to the N2 flow rate is from about 5:1 to about 1:5.
38. The method of claim 34, wherein the ratio of the combined CHF3 and C4 F8 flow rate to the N2 flow rate is from about 3:1 to about 1:3.
39. The method of claim 34, wherein the flow rate of the carbon-oxygen gas is less than or equal to the combined flow rates of the CHF3 and C4 F8 gases.
41. The method of claim 40 wherein the nitrogen gas is absent fluorine, and is selected from the group consisting of N2, N2 O, NOx in which x is from about 1 to about 2, and mixtures thereof.
42. The method of claim 40 wherein the fluorocarbon gas is selected from the group consisting of C2 F6, CHF3, and mixtures thereof.
43. The method of claim 40 wherein the process gas further comprises Ar.
44. The method of claim 40 wherein the volumetric flow ratio of fluorocarbon, CO and nitrogen gas is selected so that the rate of formation of the passivating deposits is approximately equal to the rate of removal of the passivating deposits.
45. The method of claim 40 wherein the fluorocarbon gas comprises at least two components, the first component selected from the group consisting of CH3 F, CHF3, CH2 F2, and C2 H4 F2 ; and the second component selected from the group consisting of CF4, C2 F6, C3 F8, C4 F8, and C4 F10.
47. The method of claim 46 wherein the nitrogen gas is absent fluorine, and is selected from the group consisting of N2, N2 O, NOx, in which x is from about 1 to about 2, and mixtures thereof.
48. The method of claim 46 wherein the fluorine-containing gas is selected from the group consisting of C2 F6, CHF3, and mixtures thereof.
49. The method of claim 46 wherein the process gas further comprises Ar.
50. The method of claim 46 wherein the volumetric flow ratio of fluorine-containing gas, CO gas, and nitrogen gas is selected so that the rate of formation of the passivating deposits is approximately equal to the rate of removal of the passivating deposits.
51. The method of claim 46 wherein the fluorine-containing gas comprises at least two components, the first component selected from the group consisting of CH3 F, CHF3, CH2 F2, and C2 H4 F2 ; and the second component selected from the group consisting of CF4, C2 F6, C3 F8, C4 F8, and C4 F10.

The present invention relates to a process for etching substrates, and in particular, for etching dielectric layers, such as silicon dioxide, on semiconductor substrates.

In integrated circuit fabrication, it is often desirable to etch electrically insulative dielectric layers, such as silicon dioxide, undoped silicate glass, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), Si3 N4, or TEOS deposited glass, that are used to electrically isolate devices or features formed on the substrate. For example, the dielectric layer can be deposited on a monocrystalline silicon substrate; a polysilicon layer on the substrate; or on anti-reflective or diffusion barrier layers, such as titanium silicide or titanium nitride. As another example, the dielectric layer can be deposited on electrically conductive interconnect lines that are used to electrically connect devices formed on semiconductor substrates. To etch the dielectric layer, resist material is deposited on the dielectric layer and patterned using photolithographic methods to the desired configuration of holes or lines. Holes are etched though the exposed portions of the insulative dielectric layers to the underlayers, such as silicon, polysilicon, titanium silicide, or titanium nitride layers. The etched holes are filled with electrically conductive material to form vertical electrically conductive interconnects, commonly known as contact holes or vias, which connect devices formed on the substrate or interconnect lower levels of interconnect lines to upper levels of interconnect lines.

In conventional etching processes, the dielectric layer is etched using a plasma of fluorocarbon gases, such as for example, CHF3, CF4, and argon. However, such gas compositions do not allow simultaneous control of both etching selectivity ratio and etch rate microloading. Tailoring the gas composition to provide high etching selectivity often results in high etch rate microloading, and vice versa. By etching selectivity ratio it is meant the ratio of the rate of etching of the dielectric layers to the rate of etching of the overlying resist layer, or the underlying silicon polysilicon, titanium silicide, or titanium nitride layer. It is desirable for the dielectric etch rate to be greater than the etch rate of the adjacent layers to etch the dielectric without excessive etching of the overlying resist layer, and without etching through the underlayers. Etch rate microloading is a measure of the relative difference in etch rates for large and small sized features, and should be less than 10%, to obtain uniformly sized etched features that stop on the underlayers. Conventional etching processes that provide low etch rate microloading, often result in dielectric to resist etching selectivity ratios of typically less than about 5:1. However, modern integrated circuits often require etching selectivities of greater than 5:1 with respect to the resist; greater than 20:1 with respect to polysilicon, WSix, and TiSix ; and greater than 40:1 with respect to the diffusion barrier or anti-reflective layers.

In order to provide highly selective etching and reduced microloading, fluorocarbon gases containing a large number of carbon and fluorine atoms, such as C4 F8 and C2 F6, are used in combination with a carbon-containing gas (which increases the carbon content of the etchant gas), such as for example, CHF3, CO, and argon. Such gas compositions provide high etching selectivity because dissociated carbon in the etchant gas forms complex polymeric byproducts that deposit as "passivating" layers on the sidewalls of the etched features, and on the underlayer, and overlayer to reduce etching of the same. The passivating layer on the sidewall of the etched features limits etching of the sidewalls and prevents "isotropic" etching. Although vertical "anisotropic" etching is desirable, excessive deposition of passivating polymers on the sidewalls of the etched features is undesirable and can result in a sloped cross-sectional profile for the etched features. Also, the high carbon content of the gas mixture can result in low dielectric etching rates that typically range from about 50 to 75 nm/min.

Conventional gas compositions also often cause high profile microloading with the cross-sectional profile of the holes etched in the dielectric layer varying as a function of the distance between the etched holes. This occurs when the passivating deposits formed on the sidewalls of narrow diameter holes are removed at higher rates than the wide diameter holes, because plasma ions are channeled between, and bounce-off, the sidewalls of the narrow holes to excessively etch the passivating layer thereon. In contrast, etching of passivating deposits on sidewalls of wide holes is not as high because of lower channeling effects. This results in high profile microloading with the cross-sectional shape of the holes varying as a function of the diameter of the holes.

Accordingly, there is a need for an etching process that provides high etching selectivity ratio, low etch rate microloading, and high etching rates. It is also desirable for the etching process to provide reduced profile microloading and substantially anisotropic etching by controlling the amount of passivating deposits formed on the sidewalls of the etched features.

The present invention is directed to a method of etching a substrate having a dielectric layer with resist material thereon, with high etching selectivity, low etch rate microloading, and high etch rates. In the method, the substrate is placed in a process zone, and a plasma is formed from process gas introduced into the process zone. The process gas comprises (i) fluorocarbon gas for etching the dielectric layer and for forming passivating deposits on the substrate, (ii) carbon-oxygen gas to enhance formation of passivating deposits on the substrate, and (iii) nitrogen-containing gas for removing the passivating deposits formed on the substrate. The volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected to provide a dielectric to resist etching selectivity ratio of at least about 10:1, an etch rate microloading of less than about 10%, and a dielectric etch rate of at least about 100 nm/min. Preferably, the volumetric flow ratio is selected so that the rate of formation of passivating deposits on etched features of the substrate is approximately equal to the rate of removal of the passivating deposits. Also, the gas composition can be tailored to provide a dielectric to underlayer etching selectivity ratio of at least 20:1, where the underlayer is, for example, monocrystalline silicon, polysilicon, titanium silicide, anti-reflective, or diffusion barrier layers.

A preferred etchant gas composition comprises a mixture of a first fluorocarbon gas comprising hydrogen, and a second fluorocarbon gas absent hydrogen. Preferably, the first fluorocarbon gas is selected from the group consisting of CH3 F, CHF3, C2 HF5, CH2 F2, and C2 H4 F6, and the second fluorocarbon gas is selected from the group consisting of CF4, C2 F6, C3 F8, C4 F8, and C4 F10. The nitrogen-containing gas is preferably absent fluorine, and can comprise N2, N2 O, or NOx, of which N2 is preferred. An inert gas capable of being activated by the plasma to sputter material from the substrate can be added to the process gas to enhance etch rates and etch selectivity. The inert gas can comprise argon, xenon, neon, krypton, or helium, of which argon is preferred.

These and other features, aspects, and advantages of the present invention will be better understood from the following drawings, description and appended claims, which illustrate examples of the invention, where:

FIG. 1a is a schematic in vertical cross-section of a dielectric layer covering a substrate;

FIG. 1b is a schematic in vertical cross-section of the substrate of FIG. 1 a after etching of the dielectric layer showing substantially anisotropically etched contact holes;

FIG. 1c is a schematic in vertical cross-section of a dielectric layer covering electrically conductive interconnection lines on a substrate;

FIG. 1d is a schematic in vertical cross-section of the substrate of FIG. 1c after etching of the dielectric layer showing substantially anisotropically etched vias;

FIG. 2 is a schematic view in vertical cross-section of a process chamber suitable for practicing the etching process of the present invention;

FIG. 3 is a graph showing the change in the rate of etching of a dielectric layer for increasing flow rates of CHF3 and N2 gas;

FIG. 4 is a graph showing the change in dielectric etching uniformity across the substrate for increasing flow rates of CHF3 and N2 gas;

FIG. 5 is a graph showing the change in BPSG to polysilicon etching selectivity ratio for increasing flow rates of CHF3 and N2 gas;

FIG. 6 is a graph showing the change in BPSG to photoresist etching selectivity ratio for increasing flow rates of CHF3 and N2 gas;

FIG. 7 is a graph showing the change in profile angle of features etched through the dielectric layer for increasing flow rates of CHF3 and N2 gas; and

FIG. 8 is a graph showing the change in % microloading for increasing flow rates of CHF3 and N2 gas.

The etching process of the present invention is useful for etching a dielectric layer 20 on a substrate 25, as shown in FIGS. 1a-1d, with high etching selectivity and good etch rates. The substrate 25 can be made of any material, such as glass, ceramic, metal, polymer, or semiconductor substrates, such as a silicon or gallium arsenide wafers. The dielectric layer 20 on the substrate 25 typically comprises a layer of silicon oxide, phosphosilicate glass (PSG), or borophosphosilicate glass (BPSG), having thickness of about 400 to 800 nm. The dielectric layer 20 can also comprise plurality of layers, such as for example, a silicon oxide layer having a thickness of about 100 to 500 nm, covered by a 300 to 800 nm BPSG layer. Although the etching method of the present invention is illustrated for etching of dielectric layers, the etching method can also be used to etch films which include non-oxide layers such as silicide, nitride, or boride layers, for example Ta2 O5, TiO2, TiB, WSix, and MoSix. Thus, the present invention should not be limited to etching of dielectric layers.

The dielectric layer 20 is used to electrically isolate devices or interconnect lines formed on the substrate 25. For example, the dielectric layer 20 can be deposited on an underlayer 26, such as a contact resistance reducing layer, such as titanium silicide, a doped polysilicon layer 28, or a diffusion layer 30 to electrically isolate the underlayer 26, as shown in FIG. 1a. Dielectric layers 20 are also used to electrically isolate etched interconnect lines that typically include (i) a lower diffusion barrier layer 32, such as titanium, tungsten, titanium-tungsten or titanium nitride; (ii) an electrically conductive layer 34 comprising aluminum, copper and silicon; and (iii) an anti-reflective layer 36, such as silicon, titanium nitride or titanium-tungsten, as shown in FIG. 1c, each of the layers being typically from about 200 nm to about 1000 nm thick.

In conventional photolithographic processes, a photoresist 40, such as "RISTON," manufactured by duPont de Nemours Chemical Company, is applied on the dielectric layer 20, to a thickness of about 0.4 to about 1.3 micron, and the features 45 to be etched in the insulative dielectric layer, such as contact holes or vias, are defined by exposing the resist to a pattern of light through a mask that corresponds to the desired configuration of features 45. The dielectric layer 20 below the unexposed portions of the resist are etched in the etching process. During the etching process, polymeric passivating deposits 46 are typically formed on the sidewalls 48 of the etched features 45. The etched features 45 are typically sized from about 0.2 to about 10 microns, and more typically about 0.4 to 2 microns, and the spacing between the features is typically from 0.2 microns to 10 microns.

The dielectric layer 20 on the substrate 25 is etched in a process chamber 50, such as for example, a MxP+OXIDE ETCH chamber, commercially available from Applied Materials Inc., Santa Clara, Calif., as shown in FIG. 2. The particular embodiment of the process chamber 50 shown herein, is suitable for processing of semiconductor substrates 25, is provided only to illustrate the invention, and should not be used to limit the scope of the invention. For example, the etching process of the present invention can be used to etch any substrate 25, and can be used for manufacturing processes other than semiconductor fabrication.

To perform the process, the chamber 50 is evacuated to a pressure of less than about 1 mTorr, and a substrate 20 is transferred to a plasma zone 55 of the chamber 50 from a load lock transfer chamber (not shown) maintained at vacuum. Preferably, the plasma zone 55 comprises a volume of at least about 5,000 cm3, and more preferably from about 10,000 to about 50,000 cm3. The substrate 20 is placed on a support that also serves as a cathode electrode 60, and the sidewalls of the chamber 50 are electrically grounded to form an anode electrode 65. The substrate can be held in place during the etching process using a mechanical or electrostatic chuck (not shown) with grooves in which a coolant gas, such as helium, is held to control the temperature of the substrate 25.

Process gas is introduced into the chamber through a gas distributor 80 peripherally disposed about the substrate 25, and the chamber 50 is maintained at a pressure ranging from about 1 to about 1000 Mtorr, and more typically from 10 to 300 mTorr. An electric field is maintained in the plasma zone 55 to form a plasma from the process gas (i) inductively by applying an RF current to an inductor coil (not shown) encircling the process chamber, (ii) capacitively by applying an RF current to the cathode and anode electrodes 60, 65 in the process chamber 50, or (iii) both inductively and capacitively. In reactive ion etching (RIE) processes, the plasma is typically capacitively generated by applying an RF voltage at a power level of from about 100 to about 2000 Watts to the cathode electrode 60, and by electrically grounding the anode electrode 65. Alternatively, an RF current at a power level of from about 750 Watts to about 2000 Watts can be applied to an inductor coil to inductively couple energy into the chamber to generate the plasma in the plasma zone 55. The frequency of the RF current applied to the process electrodes 60, 65 or inductor coil is typically from about 50 Khz to about 60 MHz, and more typically about 13.56 MHz.

The plasma can also be enhanced using electron cyclotron resonance or magnetically enhanced reactors, in which a magnetic field generator 85, such as a permanent magnet or electromagnetic coils, is used to applied a magnetic field in the plasma zone 55 to increase the density and uniformity of the plasma in the plasma zone 55. Preferably, the magnetic field comprises a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate 25, as described in U.S. Pat. No. 4,842,683, issued Jun. 27, 1989, which is incorporated herein by reference. The magnetic field in the chamber 50 should be sufficiently strong to increase the density of the ions formed in the plasma, and sufficiently uniform to reduce charge-up damage to features such as CMOS gates. Generally, the magnetic field as measured on a surface of the substrate is lees than about 500 Gauss, more typically from about 10 to about 100 Gauss, and most typically from about 10 Gauss to about 30 Gauss.

Spent process gas and etchant byproducts are exhausted from the process chamber 50 through an exhaust system 90 capable of achieving a minimum pressure of about 10-3 mTorr in the process chamber 50. A throttle valve 95 is provided in the exhaust for controlling the pressure in the chamber 50. Also, an optical endpoint measurement technique is typically used to determine completion of the etching process for a specific layer by measuring the change in light emission intensity of a particular wavelength corresponding to a detectable gaseous species. A sudden decrease or increase in the amount of the detectable species, such as for example, CO or CN, that results from chemical reaction of the process gas with the silicon dioxide or polysilicon layer indicates completion of etching of the dielectric layer 20 and start of etching of the underlayer.

The etching process of the present invention provides high etch rates and highly selective etching of the dielectric layers 20 on the substrate 25. The process gas used in the etching process comprises (i) fluorocarbon gas for etching the dielectric layer 20 and forming passivating deposits 46 on the substrate 25, (ii) carbon-oxygen gas for enhancing formation of passivating deposits 46, and (iii) nitrogen-containing gas for etching the passivating deposits 46 on the substrate 25. In addition, an inert-gas can be added to the process gas to provide sputtering ions that sputter and remove material from the substrate 25. The nature of these gases and preferred volumetric flow ratios of the gases will now be described.

The fluorocarbon gas is capable of forming fluorine-containing species that etch the dielectric layer 20 on the substrate 25. For example, a silicon dioxide layer is etched by fluorine containing ions and neutrals to form volatile SiFx species that are exhausted from the chamber 50. Suitable fluorocarbon gases include carbon, fluorine, and optionally hydrogen, such as for example, CF3, CF4, CH3 F, CHF3, CH2 F2, C2 H4 F6, C2 F6, C3 F8, C4 F8, C2 HF5, and C4 F10. In a preferred aspect of the present invention, the fluorocarbon gas comprises a mixture of fluorocarbon species selected from (i) a first group of hydrogen-containing fluorocarbons, such as for example CH3 F, CHF3, C2 HF5, CH2 F2, and C2 H4 F2 ; and (ii) a second group of fluorocarbons that are absent hydrogen, such as CF4, C2 F6, C3 F8, C4 F8 and C4 F10. Although the gas chemistry is not fully understood, it is believed that in general the second group of fluorocarbons provide increased amounts of free carbon and CF2 radicals that result in anisotropic etching and increased etching selectivity. However, excessive amounts of fluorocarbon gas from the second group provides low etch rates because of the high carbon content. It is further believed that the first group of fluorocarbons (with the exception of CH3 F) provide increased etch rates and lower etching selectivity, because these fluorocarbons provide hydrogen species that combine with free carbon radicals to form gaseous hydrocarbons that are exhausted from the chamber thereby limiting the amount of carbon available to form passivating deposits, and increasing amounts of fluorine species available for etching the dielectric 20. It has been discovered that a combination of gases selected from the first and second groups of fluorocarbons provides optimum etch rates and etching selectivity ratios. For example, a preferred combination of gases include CHF3 gas from the first group, and C4 F8 gas from the second group, in a volumetric flow ratio of about 1:1 to about 10:1 and more preferably from 1:1 to 6:1, and most preferably about 4:1.

The carbon-oxygen gas is used to enhance formation of carbon-containing species that form passivating deposits 46 on the substrate 25, and to control the rates of etching of silicon-containing underlayers. The carbon-oxygen gas typically reacts with fluorine species to form volatile COF2 to reduce the fluorine concentration in the process chamber 50 and consequently reduce etching of the silicon containing underlayers. In addition, the carbon-oxygen gas enhances the formation of free carbon species that react with other species, such as nitrogen, fluorine and boron to form polymers that deposit on the sidewalls of the etched features as passivating deposits 46. For example, CF2 radicals polymerize to form polymers that deposits on the sidewalls 48 of the freshly etched features 45 as a passivating deposit 46 that improves vertical anisotropic etching. Thus, excessively high flow rates of the carbon-oxygen gas can cause excessive passivating deposits 46 to form on the substrate 25 and lower the dielectric etch rate. For these reasons, the flow rate of carbon-oxygen gas is maintained lower than, or substantially equal to, the flow rate of the fluorocarbon gas to provide sufficient fluorine-containing species to rapidly etch the substrate 25, while providing high dielectric to underlayer etching selectivity, and anisotropic etching. Suitable carbon-oxygen gases include for example, CO, HCOOH, and HCHO, of which CO is preferred.

The nitrogen-containing gas, for example N2, NO2, and NOx, provides unexpected results in combination with the fluorocarbon and carbon-oxygen gases. As described above, the fluorocarbon and carbon-oxygen components dissociate to form CF2 species that form polymeric passivating deposits 46 on the sidewalls 48 of the etched features 45. It is believed that the nitrogen-containing gas reacts with some of the CF2 radicals to form volatile CN radicals which are exhausted from the process chamber 50. The resultant increase in oxygen species in the plasma zone reacts with free carbon to reduce the amount of passivating deposits 46 formed on the substrate 25, reduce etch rate microloading, and prevent deposition of excessively thick passivating deposit layers that can stop the etching process. It is also believed that nitrogen species react with F and CFx species to form NF3 species that etch the passivating deposits 46 off the substrate 25, even though the fluorocarbon and carbon-oxygen gases provide relatively large amounts of free carbon and CF2 radicals that reduce dielectric etch rates. Thus, it is preferred to use nitrogen-containing gases which are absent fluorine, such as N2, NO2, or NOx where x is from about 1 to about 2, of which N2 is preferred. Preferably, the volumetric flow ratio of fluorocarbon to nitrogen-containing gas is from about 5:1 to about 1:5, and more preferably from about 3:1 to about 1:3, and most preferably from about 2:1 to about 1:2.

For optimal etching results, the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected so that the rate of formation of passivating deposits 46 on the sidewalls 48 and bottom of the freshly etched features 45 is approximately equal to the rate of removal of the passivating deposits 46. This provides high etching selectivity ratios, for example, a dielectric to resist etching selectivity ratio of at least about 10:1, while simultaneously etching the dielectric layer 20 at a high etch rate of at least about 100 nm/min, and more typically from 200 to 500 nm/min, with reduced etch rate microloading. When the substrate 25 comprises an underlayer 26 of material below the dielectric layer 20, such as a polysilicon, monocrystalline silicon, titanium silicide, titanium nitride, or silicon nitride layer, the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas can be tailored to increase etching selectivity ratios for specific combinations of materials, such as for example, the etching selectivity of etching dielectric to resist, polysilicon, diffusion barrier layers, titanium silicide layers, or anti-reflective layers. In particular the volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas is selected so that the etching selectivity ratio of dielectric to polysilicon, titanium silicide, or titanium nitride is at least about 20:1, and more preferably at least about 30:1. The volumetric flow ratio of fluorocarbon:carbon-oxygen:nitrogen-containing gas can also be adjusted so that the sidewalls 48 of the etched features 45 have smooth surfaces that form angles of at least about 85° with the surface of the dielectric layer 20 on the substrate 25. For example, volumetric flow ratios of fluorocarbon:carbon-oxygen:nitrogen-containing gas that provide high etching selectivity, reduced etch rate microloading, and excellent etch rates, range from about 7:8:4 to about 3:4:4, more preferably from about 6:7:4 to about 4:5:4. Although preferred volumetric flow ratios are recited herein, it should be understood that the volumetric flow ratios can be tailored for different combinations of materials, and feature geometry, such as feature aspect ratios, to achieve specific etching selectivities, etch rate microloading, or etch rates without deviating from the scope of the present invention.

Preferably, inert gas is added to the process gas to form ionized sputtering species that sputter-off the passivating deposits 46 on the sidewalls 48 of the freshly etched features 45. The inert gas also ionizes to form ionized metastable states that enhance dissociation of the process gas. Thus, it is also desirable for the inert gas to have a wide range of excitation energies, so that energy transfer reactions which promote dissociation of the process gas can occur between the excited inert gas and the process gas. Suitable inert gases include argon, helium, neon, xenon, and krypton, of which argon is preferred. Sufficient inert gas is added to the process gas to assist in sputtering the passivating deposits 46 off the substrate 25, and to enhance disassociation of the process gas. However, excessive flow of inert gas causes excessive sputtering of the resist on the substrate 25, resulting in resist faceting, etching of the dielectric layer 20 underlying the resist, and high profile microloading. Thus, the volumetric flow ratio of inert gas to process gas is preferably from about 3:1 to about 1:2.

One preferred composition of process gas, suitable for etching silicon oxide layers on a silicon substrate 25 with highly selective etching, comprises CHF3, C4 F8, CO, N2, and argon. For the volume of the process chamber 50 described herein, (i) a suitable flow rate of CHF3 is from about 10 to about 60 sccm, and more preferably from about 20 to about 50 sccm; (ii) a suitable flow rate of C4 F8 is from about 0.5 to about 40 sccm, and more preferably from about 2 to about 20 sccm; (iii) a suitable flow rate of CO is from about 10 to about 90 sccm, and more preferably from about 20 to about 60 sccm; (iv) a suitable flow rate of N2 is from about 5 to about 80 sccm, and more preferably from about 10 to about 40 sccm; and (v) a suitable flow rate of argon is from about 50 to about 300 sccm, and more preferably from about 100 to about 250 sccm. Because actual flow rates are dependent upon the volume of the chamber 50, the invention should not be limited to the flow rates recited herein.

The etching process of the present invention provides high selective etching of dielectric layers 20 without sacrificing etch rate microloading and dielectric etching rates. By etching selectivity ratio, it is meant the ratio of the rate of etching of the dielectric layer 20 to the rate of etching of adjacent layers of other materials, that include the underlying anti-reflective, diffusion barrier, titanium silicide, or polysilicon layers, and overlying resist layers. The combination of the high etch rates, low microloading, and high etching selectivity is obtained by balancing the rate of deposition and removal of passivation species from the substrate 25, and by controlling the amount of fluorine-containing species available for etching the dielectric layer 20. While excessive passivating deposits 46 reduce overall dielectric etch rates and increase etch rate microloading, suppression of the deposition or formation of polymer typically reduces etching selectivity. The combination of fluorocarbon, carbon-oxygen, nitrogen-containing gas, and inert gas, of the present invention provides the unexpected advantages of high etch rates, low etch rate microloading, and high etching selectivity. In particular, the present etching process provides etching selectivity ratios of the dielectric to resist of at least about 10:1, of dielectric to polysilicon of at least about 20:1, and of dielectric to TiSix of at least about 30:1. In addition, high etch rates of at least about 100 nm/min, and more typically at least about 300 nm/min are obtained, while maintaining etch rate microloading to less than about 10%.

The following examples illustrate use of the present invention for etching dielectric layers 20 on semiconductor substrates 25. However, the apparatus and method can be used in other applications as would be apparent to those skilled in the art, and the scope of the present invention should not be limited to the illustrative examples provided herein.

The substrates 25 used were silicon wafers of 200 mm (8 inch) diameter, coated successively with a polysilicon layer, a 0.05 micron layer of TiSx ; and a BPSG layer having a thickness of about 1.5 microns. The substrate 25 was placed on the cathode 60 of an MxP+OXIDE ETCH chamber 50, and the chamber 50 was maintained at a pressure of from about 80 mTorr. Process gas comprising the particular gas compositions described below was introduced into the process chamber 50. Thereafter, a plasma is generated in the plasma zone 55 to form an etchant gas that etches the dielectric layer 20 on the substrate 25. The plasma was generated by applying a RF voltage to the process electrodes having a power level of about 1100 Watts. The plasma was enhanced by applying a rotating magnetic field of about 30 Gauss to the plasma zone 55.

The substrate 25 was cooled or heated to temperatures sufficiently high to volatilize etchant byproducts, and sufficiently low so that the thin layer of passivating deposit 46 on the sidewalls 48 of freshly etched features 45 is not volatilized. Typically, the substrate 25 was cooled to a temperature of 15°C using a flow of helium on the backside of the substrate 25 maintained at a pressure of about 14 mTorr. The chamber wall was heated to a temperature of 15°C to form polymer deposits on the wall. The etching process was performed for a sufficient time to etch through the insulative dielectric layers 20 on the substrate 25, and terminated using an optical endpoint measurement technique. After etching, residual resist is burned or ashed off using an oxygen plasma maintained at conventional process conditions.

SEM photos of the etched wafers were used to measure the (i) dielectric etch rate, (ii) the etching selectivity ratio of dielectric etching to TiSix, polysilicon, or photoresist etching, (iii) etch rate uniformity, (iv) the sidewall 48 profile angle, and (v) the % etch rate microloading. Etch rates were calculated by measuring the depth of the features 45 etched in the wafers. The etching selectivity ratio was calculated from the ratio of the etch rate of the dielectric layers 20 to the etch rate of the TiSix, polysilicon, or photoresist layers. The etch rate uniformity was calculated using at least 15 different measured points, by the formula: ##EQU1## The % etch rate microloading is a measure of the difference in etch rates obtained when etching features having different sizes on the substrates, and was calculated as a percent value from the formula: ##EQU2## In the examples below, percent etch rate microloading was measured for large holes having diameters of about 1.1 microns and small holes having diameters of about 0.5 microns, the etch rates being averaged for the center and peripheral edge of the substrates 25.

To compare the etching process of the present invention to conventional etching processes having different gas compositions, several experiments were conducted as shown in Table I.

TABLE I
__________________________________________________________________________
PROCESS GAS COMPOSITIONS AND RESULTS OF EXAMPLES 1-6
Oxide to
Oxide to
Oxide to
Process
Etch rate
TiSix
Polysilicon
Resist
% Profile
Example
Gas (nm/min)
Selectivity
Selectivity
Selectivity
Microloading
(°)
__________________________________________________________________________
1 CHF3 /CF4 /
400-700
<15 10-12 2-5 <10% 84-87
Ar
2 CO/CHF3 /
350-450
15-30
12-14 3-5 .about. 10%
86-88
CF4 /Ar
3 CO/C4 F8 /
250-350
>60 20-25 10-15
.about. 7%
86-88
Ar
4 CF4 /CO/
>500 N/A <12 3-4 <10% N/A
C4 F8 /Ar
5 CHF3 /CO
350-400
>60 30-35 15-20
>50% 84-87
C4 F4 /Ar
6 CHF3 /N2 /
350-550
40-60
15-20 10-12
<10% 85-88
CO/C4 F8 /
Ar
__________________________________________________________________________

These examples demonstrate the advantages of the etching process of the present invention. In particular, Example 6 demonstrate that a process gas comprising CHF3 /N2 /CO/C4 F8 /Ar, according to the present invention, provides high etch rates greater than 350 nm/minute, and excellent etching selectivity ratios for dielectric etching that exceeded 40:1 for titanium silicide, 15:1 for polysilicon, and 10:1 for photoresist. The profiles of the features 45 etched in the dielectric layer 20 had angles of from about 85° to about 88°, and the % microloading was less than 10%.

In contrast the other listed etching gas compositions produced either lower etching selectivity ratios, such as for Examples 1 and 2, or lower etch rates, such for example 3. Example 4 produced the highest etch rates but provided unacceptably low dielectric to photoresist etching selectivity ratios, and the relatively resist etching rate resist resulted in excessive polymeric deposits formed on the chamber walls. Example 5 provided high etch rates and good etching selectivity, however, the % microloading of >50% was unacceptable.

In examples 7-15, the volumetric flow ratio of CHF3 to nitrogen was optimized using a factorial design study involving a 23 orthogonal matrix. Three flow rates were selected for each of the two process variables, as shown in Table II.

TABLE II
______________________________________
ORTHOGONAL MATRIX CONDITIONS
Level
Factors I II III
______________________________________
CHF3 Flow rate
0 20 40
(sccm)
N2 Flow rate (sccm)
0 20 40
______________________________________

In these experiments, the pressure in the chamber 50 was maintained at 80 mTorr, and the magnetic field maintained at 30 Gauss. The cathode 60 and chamber wall temperature was held at 15°C Helium was flowed at a pressure of 14 Torr on the backside of the wafer. The flow rate of C4 F8 was maintained at 5 sccm, the CO flow rate maintained at 30 sccm, and the argon flow rate maintained at 200 sccm. A current at a power level of 1100 watts was applied to the cathode electrode 60 to generate an RF bias for forming a plasma in the chamber 50.

The results of Examples 7-15 are shown in Table III. Also, FIGS. 3 to 8 show the change in etch rate, uniformity, selectivity ratio for dielectric to polysilicon or photoresist, profile angle, and % microloading, as a function of the N2 and CHF3 volumetric flow rates. FIG. 3 demonstrates that the etch rate increases for higher flow rates of CHF3. FIG. 4 demonstrates that the non-uniformity in the etch rate decreases for higher flow rates of CHF3, however an increase in the flow rate of N2 appears to decrease overall etch non-uniformity. FIG. 5 demonstrates that the etching selectivity ratio for etching of dielectric relative to polysilicon decreases with an increase in the flow rate of N2. FIG. 6 demonstrates that the etching selectivity ratio for etching of dielectric relative to photoresist decreases substantially for an increase in the flow rate of N2, and also for decreasing flow of CHF3. FIG. 7 demonstrates that the profile angle of the sidewalls 48 of the etched features 45 decreases for higher flow rates of CHF3, and increases for increased flow of N2. FIG. 8 demonstrates that the % microloading decreases substantially with the addition of N2 to the process gas.

TABLE III
__________________________________________________________________________
PROCESS GAS COMPOSITIONS AND RESULTS OF EXAMPLES 7-15
Oxide to
Oxide to
CHF3
N2 Polysilicon
Resist Etch
Etch
Example
Flow rate
Flow rate
Etch rate
Etch Selectivity
Uniformity
Profile
RIE
No. (sccm)
(sccm)
(nm/min)
Selectivity Ratio
Ratio (m/m) (°)
lag
__________________________________________________________________________
7 0 0 349 31.5 19.4 7.6 87 40
8 0 20 360 19.6 10.6 3.9 87.5
8
9 0 40 352 23.8 9.3 4.4 87.52
6
10 20 0 469 37.8 21.8 4.1 85.5
60
11 20 20 481 16.3 11.4 3.3 86.5
3
12 20 40 474 13.4 8.9 3.7 87 3
13 40 0 513 37.7 23.9 4.3 84.5
63.5
14 40 20 523 16.8 12 3.5 85.5
30.5
15 40 40 527 12.3 9.3 3.1 86.5
18.5
__________________________________________________________________________

The best results were obtained in Example 11, which provided an etch rate of 481 nm/min, an etching selectivity ratio exceeding 16 for etching dielectric relative to polysilicon, and exceeding 11.8 for photoresist. The profiles of the features 45 etched in the dielectric layer 20 had angles of about 87°, and the % microloading was about 3. In this example, the volumetric flow ratio of the process gas comprising fluorocarbon:carbon-oxygen:nitrogen-containing gas was 5:6:4. Also, the volumetric flow ratio of the process gas to inert gas was 3:8. In addition the volumetric flow ratio of CHF3 to C4 F8 was about 4:1.

These examples demonstrate that a process according to the present invention provides high etch rates greater than 350 nm/minute, and excellent etching selectivity ratios of about 20:1 for polysilicon and 10:1 for photoresist. The profiles of the features 45 etched in the dielectric layer 20 had substantially straight angles that exceeded 85°, and the % microloading was as low as 3%.

Welch, Michael, Shan, Hongching, Pu, Bryan

Patent Priority Assignee Title
10026621, Nov 14 2016 Applied Materials, Inc SiN spacer profile patterning
10032606, Aug 02 2012 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
10043674, Aug 04 2017 Applied Materials, Inc Germanium etching systems and methods
10043684, Feb 06 2017 Applied Materials, Inc Self-limiting atomic thermal etching systems and methods
10049891, May 31 2017 Applied Materials, Inc Selective in situ cobalt residue removal
10062575, Sep 09 2016 Applied Materials, Inc Poly directional etch by oxidation
10062578, Mar 14 2011 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
10062579, Oct 07 2016 Applied Materials, Inc Selective SiN lateral recess
10062585, Oct 04 2016 Applied Materials, Inc Oxygen compatible plasma source
10062587, Jul 18 2012 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
10074517, Jul 15 2011 Sony Corporation Plasma treatment method, plasma treatment apparatus, and semiconductor device manufacturing method
10128086, Oct 24 2017 Applied Materials, Inc Silicon pretreatment for nitride removal
10147620, Aug 06 2015 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
10163696, Nov 11 2016 Applied Materials, Inc Selective cobalt removal for bottom up gapfill
10170336, Aug 04 2017 Applied Materials, Inc Methods for anisotropic control of selective silicon removal
10186428, Nov 11 2016 Applied Materials, Inc. Removal methods for high aspect ratio structures
10224180, Oct 04 2016 Applied Materials, Inc. Chamber with flow-through source
10224210, Dec 09 2014 Applied Materials, Inc Plasma processing system with direct outlet toroidal plasma source
10242908, Nov 14 2016 Applied Materials, Inc Airgap formation with damage-free copper
10256079, Feb 08 2013 Applied Materials, Inc Semiconductor processing systems having multiple plasma configurations
10256109, Aug 31 2015 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
10256112, Dec 08 2017 Applied Materials, Inc Selective tungsten removal
10283321, Jan 18 2011 Applied Materials, Inc Semiconductor processing system and methods using capacitively coupled plasma
10283324, Oct 24 2017 Applied Materials, Inc Oxygen treatment for nitride etching
10297458, Aug 07 2017 Applied Materials, Inc Process window widening using coated parts in plasma etch processes
10319600, Mar 12 2018 Applied Materials, Inc Thermal silicon etch
10319603, Oct 07 2016 Applied Materials, Inc. Selective SiN lateral recess
10319649, Apr 11 2017 Applied Materials, Inc Optical emission spectroscopy (OES) for remote plasma monitoring
10319739, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
10325923, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
10347498, Dec 31 2016 L AIR LIQUIDE, SOCIÉTÉ ANONYME POUR L ETUDE ET L EXPLOITATION DES PROCÉDÉS GEORGES CLAUDE Methods of minimizing plasma-induced sidewall damage during low K etch processes
10354843, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
10354889, Jul 17 2017 Applied Materials, Inc Non-halogen etching of silicon-containing materials
10403507, Feb 03 2017 Applied Materials, Inc Shaped etch profile with oxidation
10424463, Aug 07 2015 Applied Materials, Inc. Oxide etch selectivity systems and methods
10424464, Aug 07 2015 Applied Materials, Inc. Oxide etch selectivity systems and methods
10424485, Mar 01 2013 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
10431429, Feb 03 2017 Applied Materials, Inc Systems and methods for radial and azimuthal control of plasma uniformity
10465294, May 28 2014 Applied Materials, Inc. Oxide and metal removal
10468267, May 31 2017 Applied Materials, Inc Water-free etching methods
10468276, Aug 06 2015 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
10468285, Feb 03 2015 Applied Materials, Inc. High temperature chuck for plasma processing systems
10490406, Apr 10 2018 Applied Materials, Inc Systems and methods for material breakthrough
10490418, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
10497573, Mar 13 2018 Applied Materials, Inc Selective atomic layer etching of semiconductor materials
10497579, May 31 2017 Applied Materials, Inc Water-free etching methods
10504700, Aug 27 2015 Applied Materials, Inc Plasma etching systems and methods with secondary plasma injection
10504754, May 19 2016 Applied Materials, Inc Systems and methods for improved semiconductor etching and component protection
10522371, May 19 2016 Applied Materials, Inc Systems and methods for improved semiconductor etching and component protection
10529737, Feb 08 2017 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
10541113, Oct 04 2016 Applied Materials, Inc. Chamber with flow-through source
10541184, Jul 11 2017 Applied Materials, Inc Optical emission spectroscopic techniques for monitoring etching
10541246, Jun 26 2017 Applied Materials, Inc 3D flash memory cells which discourage cross-cell electrical tunneling
10546729, Oct 04 2016 Applied Materials, Inc Dual-channel showerhead with improved profile
10566206, Dec 27 2016 Applied Materials, Inc Systems and methods for anisotropic material breakthrough
10573496, Dec 09 2014 Applied Materials, Inc Direct outlet toroidal plasma source
10573527, Apr 06 2018 Applied Materials, Inc Gas-phase selective etching systems and methods
10593523, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
10593553, Aug 04 2017 Applied Materials, Inc. Germanium etching systems and methods
10593560, Mar 01 2018 Applied Materials, Inc Magnetic induction plasma source for semiconductor processes and equipment
10600639, Nov 14 2016 Applied Materials, Inc. SiN spacer profile patterning
10607867, Aug 06 2015 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
10615047, Feb 28 2018 Applied Materials, Inc Systems and methods to form airgaps
10629473, Sep 09 2016 Applied Materials, Inc Footing removal for nitride spacer
10672642, Jul 24 2018 Applied Materials, Inc Systems and methods for pedestal configuration
10679870, Feb 15 2018 Applied Materials, Inc Semiconductor processing chamber multistage mixing apparatus
10699879, Apr 17 2018 Applied Materials, Inc Two piece electrode assembly with gap for plasma control
10699921, Feb 15 2018 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
10707061, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
10727080, Jul 07 2017 Applied Materials, Inc Tantalum-containing material removal
10755941, Jul 06 2018 Applied Materials, Inc Self-limiting selective etching systems and methods
10770346, Nov 11 2016 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
10796922, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
10854426, Jan 08 2018 Applied Materials, Inc Metal recess for semiconductor structures
10861676, Jan 08 2018 Applied Materials, Inc Metal recess for semiconductor structures
10872778, Jul 06 2018 Applied Materials, Inc Systems and methods utilizing solid-phase etchants
10886137, Apr 30 2018 Applied Materials, Inc Selective nitride removal
10892198, Sep 14 2018 Applied Materials, Inc Systems and methods for improved performance in semiconductor processing
10903052, Feb 03 2017 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
10903054, Dec 19 2017 Applied Materials, Inc Multi-zone gas distribution systems and methods
10920319, Jan 11 2019 Applied Materials, Inc Ceramic showerheads with conductive electrodes
10920320, Jun 16 2017 Applied Materials, Inc Plasma health determination in semiconductor substrate processing reactors
10943834, Mar 13 2017 Applied Materials, Inc Replacement contact process
10964512, Feb 15 2018 Applied Materials, Inc Semiconductor processing chamber multistage mixing apparatus and methods
11004689, Mar 12 2018 Applied Materials, Inc. Thermal silicon etch
11024486, Feb 08 2013 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
11024513, Dec 31 2016 Air Liquide Electronics U.S. LP Methods for minimizing sidewall damage during low k etch processes
11049698, Oct 04 2016 Applied Materials, Inc. Dual-channel showerhead with improved profile
11049755, Sep 14 2018 Applied Materials, Inc Semiconductor substrate supports with embedded RF shield
11062887, Sep 17 2018 Applied Materials, Inc High temperature RF heater pedestals
11101136, Aug 07 2017 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
11121002, Oct 24 2018 Applied Materials, Inc Systems and methods for etching metals and metal derivatives
11158527, Aug 06 2015 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
11239061, Nov 26 2014 Applied Materials, Inc. Methods and systems to enhance process uniformity
11257693, Jan 09 2015 Applied Materials, Inc Methods and systems to improve pedestal temperature control
11264213, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
11276559, May 17 2017 Applied Materials, Inc Semiconductor processing chamber for multiple precursor flow
11276590, May 17 2017 Applied Materials, Inc Multi-zone semiconductor substrate supports
11328909, Dec 22 2017 Applied Materials, Inc Chamber conditioning and removal processes
11361939, May 17 2017 Applied Materials, Inc Semiconductor processing chamber for multiple precursor flow
11417534, Sep 21 2018 Applied Materials, Inc Selective material removal
11437242, Nov 27 2018 Applied Materials, Inc Selective removal of silicon-containing materials
11476093, Aug 27 2015 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
11594428, Feb 03 2015 Applied Materials, Inc. Low temperature chuck for plasma processing systems
11637002, Nov 26 2014 Applied Materials, Inc Methods and systems to enhance process uniformity
11682560, Oct 11 2018 Applied Materials, Inc Systems and methods for hafnium-containing film removal
11721527, Jan 07 2019 Applied Materials, Inc Processing chamber mixing systems
11735441, May 19 2016 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
11854869, Dec 29 2017 Methods of forming high aspect ratio features
11915950, May 17 2017 Applied Materials, Inc. Multi-zone semiconductor substrate supports
6025277, May 07 1997 United Microelectronics Corp. Method and structure for preventing bonding pad peel back
6074952, May 07 1998 Vanguard International Semiconductor Corporation Method for forming multi-level contacts
6090304, Aug 28 1997 Lam Research Corporation Methods for selective plasma etch
6103137, Dec 16 1997 LG Semicon Co., Ltd. Method for etching oxide film in plasma etching system
6117791, Jun 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
6121671, Jun 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor device having a substrate, an undoped silicon oxide structure, and an overlying doped silicon oxide structure with a side wall terminating at the undoped silicon oxide structure
6207582, Jul 21 1999 Advanced Micro Devices, Inc. Native oxide removal with fluorinated chemistry before cobalt silicide formation using nitride spacers
6227211, Dec 07 1998 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
6235214, Dec 03 1998 Applied Materials, Inc Plasma etching of silicon using fluorinated gas mixtures
6235640, Sep 01 1998 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
6297147, Jun 05 1998 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
6297163, Sep 30 1998 Lam Research Corporation Method of plasma etching dielectric materials
6303512, Feb 20 1997 Robert Bosch GmbH Anisotropic, fluorine-based plasma etching method for silicon
6312616, Dec 03 1998 Applied Materials, Inc.; Applied Materials, Inc Plasma etching of polysilicon using fluorinated gas mixtures
6340435, Feb 11 1998 Applied Materials, Inc Integrated low K dielectrics and etch stops
6348289, Aug 03 1999 GLOBALFOUNDRIES Inc System and method for controlling polysilicon feature critical dimension during processing
6380096, Jul 09 1998 Applied Materials, Inc In-situ integrated oxide etch process particularly useful for copper dual damascene
6391790, May 22 2000 Applied Materials, Inc Method and apparatus for etching photomasks
6391791, Aug 07 1998 Renesas Technology Corporation Dry-etching method and apparatus, photomasks and method for the preparation thereof, and semiconductor circuits and methods for the fabrication thereof
6403491, Nov 01 2000 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
6461529, Apr 26 1999 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
6475917, Oct 28 1999 Taiwan Semiconductor Manufacturing Company Method to reduce the metal TiN ARC damage in etching back process
6478978, May 18 1998 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
6479393, May 18 1998 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
6486070, Sep 21 2000 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
6495469, Dec 03 2001 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
6508948, Jun 13 2001 Air Products and Chemicals, Inc.; Air Products and Chemicals, Inc Cyanuric fluoride and related compounds for anisotropic etching
6533953, May 18 2000 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
6534417, Jul 25 2000 Applied Materials, Inc. Method and apparatus for etching photomasks
6537922, Jun 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
6547934, May 18 1998 Applied Materials, Inc Reduction of metal oxide in a dual frequency etch chamber
6583063, Dec 03 1998 Applied Materials, Inc Plasma etching of silicon using fluorinated gas mixtures
6660644, Feb 12 1998 Micron Technology, Inc. Plasma etching methods
6680255, Feb 12 1998 Micron Technology, Inc. Plasma etching methods
6696366, Aug 17 1998 Lam Research Corporation Technique for etching a low capacitance dielectric layer
6700202, Nov 17 1998 Applied Materials, Inc. Semiconductor device having reduced oxidation interface
6716302, Nov 01 2000 Applied Materials Inc. Dielectric etch chamber with expanded process window
6734102, Nov 17 1998 Applied Materials Inc. Plasma treatment for copper oxide reduction
6737358, Feb 13 2002 BEIJING XIAOMI MOBILE SOFTWARE CO , LTD Plasma etching uniformity control
6753258, Nov 03 2000 Applied Materials Inc. Integration scheme for dual damascene structure
6784110, Oct 01 2002 Applied Materials, Inc Method of etching shaped features on a substrate
6794311, Jul 14 2000 Applied Materials, Inc Method and apparatus for treating low k dielectric layers to reduce diffusion
6797639, Nov 01 2000 Applied Materials Inc. Dielectric etch chamber with expanded process window
6800561, May 18 1998 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
6828251, Feb 15 2002 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
6844117, Aug 07 1998 Renesas Technology Corporation Dry-etching method and apparatus, photomasks and method for the preparation thereof, and semiconductor circuits and method for the fabrication thereof
6858153, Feb 11 1998 Applied Materials Inc. Integrated low K dielectrics and etch stops
6875371, Jun 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
6878300, May 18 1998 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
6881991, Aug 07 1998 Renesas Technology Corporation Dry-etching method and apparatus, photomasks and method for the preparation thereof, and semiconductor circuits and method for the fabrication thereof
6897154, Jun 14 2002 Applied Materials, Inc Selective etching of low-k dielectrics
6898561, Dec 21 1999 Integrated Device Technology, inc Methods, apparatus and computer program products for modeling integrated circuit devices having reduced linewidths
6946401, Nov 17 1998 Applied Materials, Inc. Plasma treatment for copper oxide reduction
6949203, Dec 28 1999 Applied Materials, Inc.; Applied Materials, Inc System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
6962771, Oct 13 2000 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process
6967170, May 18 1998 Micron Technology, Inc. Methods of forming silicon nitride spacers, and methods of forming dielectric sidewall spacers
6972261, Jun 27 2002 Xerox Corporation Method for fabricating fine features by jet-printing and surface treatment
7001843, Jan 30 2003 DONGBU ELECTRONICS CO , LTD Methods of forming metal lines in semiconductor devices
7022619, Mar 27 2002 RPX Corporation Method for fabricating electronic device
7053002, Dec 04 1998 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
7084069, Aug 21 2001 LAPIS SEMICONDUCTOR CO , LTD Method for manufacturing a semiconductor device
7115523, May 22 2000 Applied Materials, Inc Method and apparatus for etching photomasks
7144606, Jun 18 1999 Applied Materials, Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
7166536, Apr 29 1999 Robert Bosch GmbH Methods for plasma etching of silicon
7173339, Jun 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
7183201, Jul 23 2001 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
7183220, Aug 27 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Plasma etching methods
7223700, Jun 27 2002 Palo Alto Research Center Incorporated Method for fabricating fine features by jet-printing and surface treatment
7227244, Feb 11 1998 Applied Materials, Inc. Integrated low k dielectrics and etch stops
7229911, Apr 19 2004 Applied Materials, Inc Adhesion improvement for low k dielectrics to conductive materials
7244672, Jul 23 2001 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
7311852, Mar 30 2001 Lam Research Corporation Method of plasma etching low-k dielectric materials
7319075, Jun 22 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
7364836, Oct 13 2000 Taiwan Semiconductor Manufacturing Company Dual damascene process
7413992, Jun 01 2005 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
7524015, Dec 20 2006 Xerox Corporation Method of printing smooth micro-scale features
7547636, Feb 05 2007 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
7557045, Mar 16 2005 Yamaha Corporation Manufacture of semiconductor device with good contact holes
7682986, Feb 05 2007 Lam Research Corporation Ultra-high aspect ratio dielectric etch
7879732, Dec 18 2007 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Thin film etching method and semiconductor device fabrication using same
8183150, Nov 17 1998 Applied Materials, Inc. Semiconductor device having silicon carbide and conductive pathway interface
8293430, Jan 27 2005 Applied Materials, Inc Method for etching a molybdenum layer suitable for photomask fabrication
8492214, Mar 18 2011 GLOBALFOUNDRIES U S INC Damascene metal gate and shield structure, methods of manufacture and design structures
8828918, Mar 07 2008 Wako Pure Chemical Industries, Ltd Semiconductor surface treating agent composition and method for treating semiconductor surface using the semiconductor surface treating agent composition
8906194, Feb 05 2007 Lam Research Corporation Ultra-high aspect ratio dielectric etch
9231193, Sep 06 2013 Kioxia Corporation Magnetic memory and manufacturing method thereof
9269590, Apr 07 2014 Applied Materials, Inc Spacer formation
9287095, Dec 17 2013 Applied Materials, Inc Semiconductor system assemblies and methods of operation
9287134, Jan 17 2014 Applied Materials, Inc Titanium oxide etch
9293568, Jan 27 2014 Applied Materials, Inc Method of fin patterning
9299537, Mar 20 2014 Applied Materials, Inc Radial waveguide systems and methods for post-match control of microwaves
9299538, Mar 20 2014 Applied Materials, Inc Radial waveguide systems and methods for post-match control of microwaves
9299575, Mar 17 2014 Applied Materials, Inc Gas-phase tungsten etch
9299583, Dec 05 2014 Applied Materials, Inc Aluminum oxide selective etch
9309598, May 28 2014 Applied Materials, Inc Oxide and metal removal
9324576, May 27 2010 Applied Materials, Inc. Selective etch for silicon films
9343272, Jan 08 2015 Applied Materials, Inc Self-aligned process
9349605, Aug 07 2015 Applied Materials, Inc Oxide etch selectivity systems and methods
9355856, Sep 12 2014 Applied Materials, Inc V trench dry etch
9355862, Sep 24 2014 Applied Materials, Inc Fluorine-based hardmask removal
9355863, Dec 18 2012 Applied Materials, Inc. Non-local plasma oxide etch
9368364, Sep 24 2014 Applied Materials, Inc Silicon etch process with tunable selectivity to SiO2 and other materials
9373517, Aug 02 2012 Applied Materials, Inc Semiconductor processing with DC assisted RF power for improved control
9373522, Jan 22 2015 Applied Materials, Inc Titanium nitride removal
9378969, Jun 19 2014 Applied Materials, Inc Low temperature gas-phase carbon removal
9378978, Jul 31 2014 Applied Materials, Inc Integrated oxide recess and floating gate fin trimming
9384997, Nov 20 2012 Applied Materials, Inc. Dry-etch selectivity
9385028, Feb 03 2014 Applied Materials, Inc Air gap process
9390937, Sep 20 2012 Applied Materials, Inc Silicon-carbon-nitride selective etch
9396989, Jan 27 2014 Applied Materials, Inc Air gaps between copper lines
9406523, Jun 19 2014 Applied Materials, Inc Highly selective doped oxide removal method
9412608, Nov 30 2012 Applied Materials, Inc. Dry-etch for selective tungsten removal
9418858, Oct 07 2011 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
9425058, Jul 24 2014 Applied Materials, Inc Simplified litho-etch-litho-etch process
9437451, Sep 18 2012 Applied Materials, Inc. Radical-component oxide etch
9449845, Dec 21 2012 Applied Materials, Inc. Selective titanium nitride etching
9449846, Jan 28 2015 Applied Materials, Inc Vertical gate separation
9449850, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9472412, Dec 02 2013 Applied Materials, Inc Procedure for etch rate consistency
9472417, Nov 12 2013 Applied Materials, Inc Plasma-free metal etch
9478432, Sep 25 2014 Applied Materials, Inc Silicon oxide selective removal
9478434, Sep 24 2014 Applied Materials, Inc Chlorine-based hardmask removal
9493879, Jul 12 2013 Applied Materials, Inc Selective sputtering for pattern transfer
9496167, Jul 31 2014 Applied Materials, Inc Integrated bit-line airgap formation and gate stack post clean
9499898, Mar 03 2014 Applied Materials, Inc. Layered thin film heater and method of fabrication
9502258, Dec 23 2014 Applied Materials, Inc Anisotropic gap etch
9520303, Nov 12 2013 Applied Materials, Inc Aluminum selective etch
9553102, Aug 19 2014 Applied Materials, Inc Tungsten separation
9564296, Mar 20 2014 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
9576809, Nov 04 2013 Applied Materials, Inc Etch suppression with germanium
9607856, Mar 05 2013 Applied Materials, Inc. Selective titanium nitride removal
9613822, Sep 25 2014 Applied Materials, Inc Oxide etch selectivity enhancement
9659753, Aug 07 2014 Applied Materials, Inc Grooved insulator to reduce leakage current
9659792, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9691645, Aug 06 2015 Applied Materials, Inc Bolted wafer chuck thermal management systems and methods for wafer processing systems
9704723, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9711366, Nov 12 2013 Applied Materials, Inc. Selective etch for metal-containing materials
9721789, Oct 04 2016 Applied Materials, Inc Saving ion-damaged spacers
9728437, Feb 03 2015 Applied Materials, Inc High temperature chuck for plasma processing systems
9741593, Aug 06 2015 Applied Materials, Inc Thermal management systems and methods for wafer processing systems
9754800, May 27 2010 Applied Materials, Inc. Selective etch for silicon films
9768034, Nov 11 2016 Applied Materials, Inc Removal methods for high aspect ratio structures
9773648, Aug 30 2013 Applied Materials, Inc Dual discharge modes operation for remote plasma
9773695, Jul 31 2014 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
9837249, Mar 20 2014 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
9837284, Sep 25 2014 Applied Materials, Inc. Oxide etch selectivity enhancement
9842744, Mar 14 2011 Applied Materials, Inc. Methods for etch of SiN films
9865484, Jun 29 2016 Applied Materials, Inc Selective etch using material modification and RF pulsing
9881805, Mar 02 2015 Applied Materials, Inc Silicon selective removal
9885117, Mar 31 2014 Applied Materials, Inc Conditioned semiconductor system parts
9887096, Sep 17 2012 Applied Materials, Inc. Differential silicon oxide etch
9903020, Mar 31 2014 Applied Materials, Inc Generation of compact alumina passivation layers on aluminum plasma equipment components
9934942, Oct 04 2016 Applied Materials, Inc Chamber with flow-through source
9947549, Oct 10 2016 Applied Materials, Inc Cobalt-containing material removal
9978564, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
Patent Priority Assignee Title
4654112, Sep 26 1984 Texas Instruments Incorporated; TEXAS INSTRUMENTS INCORPORATED, A DE CORP Oxide etch
5021121, Feb 16 1990 APPLIED MATERIALS, INC , A CORP OF DELAWARE Process for RIE etching silicon dioxide
5234537, Mar 22 1991 Shimadzu Corporation Dry etching method and its application
5269879, Oct 16 1991 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
5272115, Jan 09 1991 NEC Corporation Method of leveling the laminated surface of a semiconductor substrate
5290383, Mar 24 1991 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
5302236, Oct 19 1990 Tokyo Electron Limited; Kabushiki Kaisha Toshiba Method of etching object to be processed including oxide or nitride portion
5302240, Jan 22 1991 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
5308742, Jun 03 1992 AT&T Bell Laboratories Method of etching anti-reflection coating
5322590, Mar 24 1991 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
5338399, Feb 12 1991 Sony Corporation Dry etching method
5356515, Oct 19 1990 Tokyo Electron Limited; Kabushiki Kaisha Toshiba Dry etching method
5445710, Jan 22 1991 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
5514247, Jul 08 1994 Applied Materials, Inc Process for plasma etching of vias
////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Apr 29 1996Applied Materials, Inc.(assignment on the face of the patent)
Sep 18 1996WELCH, MICHAELApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0082270927 pdf
Sep 19 1996PU, BRYANApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0082270927 pdf
Sep 19 1996SHAN, HONGCHINGApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0082270927 pdf
Date Maintenance Fee Events
May 15 2002M183: Payment of Maintenance Fee, 4th Year, Large Entity.
May 24 2006M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Jul 05 2010REM: Maintenance Fee Reminder Mailed.
Dec 01 2010EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Dec 01 20014 years fee payment window open
Jun 01 20026 months grace period start (w surcharge)
Dec 01 2002patent expiry (for year 4)
Dec 01 20042 years to revive unintentionally abandoned end. (for year 4)
Dec 01 20058 years fee payment window open
Jun 01 20066 months grace period start (w surcharge)
Dec 01 2006patent expiry (for year 8)
Dec 01 20082 years to revive unintentionally abandoned end. (for year 8)
Dec 01 200912 years fee payment window open
Jun 01 20106 months grace period start (w surcharge)
Dec 01 2010patent expiry (for year 12)
Dec 01 20122 years to revive unintentionally abandoned end. (for year 12)