An apparatus for supplying plasma products includes a plasma generation block that defines a toroidal plasma cavity therein. The plasma cavity is substantially symmetric about a toroidal axis, and the toroidal axis defines a first and second axial side of the plasma generation block. A magnetic element at least partially surrounds the plasma generation block at one azimuthal location with respect to the toroidal axis, such that a magnetic flux within the magnetic element induces a corresponding electric field into the plasma cavity to generate a plasma from one or more source gases, the plasma forming plasma products. The plasma generation block supplies the plasma products through a plurality of output apertures defined by the plasma generation block on the first axial side.

Patent
   10573496
Priority
Dec 09 2014
Filed
Dec 09 2014
Issued
Feb 25 2020
Expiry
Mar 05 2035
Extension
86 days
Assg.orig
Entity
Large
0
2137
currently ok
1. An apparatus for supplying plasma products, comprising:
first and second plasma generation blocks;
first and second magnetic elements partially encircling the first and second plasma generation blocks respectively;
a first plate defining a plurality of first openings therethrough;
a diffuser plate defining a plurality of second openings;
and a domain separator disposed within a space between the first plate and the diffuser plate, the domain separator forming an annular feature in contact with an underside of the first plate and an upper side of the diffuser plate; wherein:
the first and second plasma generation blocks define respective first and second toroidal plasma cavities, each of the first and second toroidal plasma cavities being substantially symmetric about a toroidal axis that defines a first and second axial side of the first and second plasma generation blocks, and configured such that when in operation, magnetic fluxes within the first and second magnetic elements induce electric fields into the first and second toroidal plasma cavities to generate first and second plasma products, respectively;
each of the first and second plasma generation blocks includes a respective member that bounds the respective toroidal plasma cavity on a radially inward side, a radially outward side, and the second axial side thereof, each respective member forming radially inward and outward edges;
the first plate is disposed on a first axial side of the first and second plasma generation blocks;
an upper surface of the first plate contacts the radially inward and radially outward edges of each respective member such that the respective first and second toroidal plasma cavities are in fluid communication with ones of the plurality of first openings;
the first and second plasma generation blocks are configured to supply the respective first and second plasma products through the one or more first openings;
the domain separator separates the first and second plasma products in the space between the first plate and the diffuser plate;
the first and second plasma products flow independently through ones of the plurality of second openings, toward a process chamber; and
wherein the domain separator has an outer diameter that is less than the diameter of the first toroidal plasma cavity and greater than the diameter of the second toroidal plasma cavity.
9. An apparatus for supplying plasma products, comprising:
a first plasma generation block that defines a first toroidal plasma cavity therein, a shape of the first toroidal plasma cavity being substantially symmetric about a toroidal axis, the toroidal axis defining a first and second axial side of the first plasma generation block, wherein the first plasma generation block includes:
a first member that bounds the first toroidal plasma cavity on a radially inward side, a radially outward side, and the second axial side thereof, the first member forming radially inward and outward edges that are coplanar with one another; and
a plate on the first axial side of the first toroidal plasma cavity that:
forms an upper surface that extends, along a single plane, from radially inward of the radially inward edge of the first member, to radially outward of the radially outward edge of the first member,
defines one or more first openings therethrough that are substantially azimuthally continuous about the toroidal axis, and
contacts the radially inward and radially outward edges of the first member at the single plane; and
at least two magnetic elements adjacent to the first toroidal plasma cavity, that partially encircle the first toroidal plasma cavity, and configured such that when in operation, magnetic fluxes within the magnetic elements induce a corresponding electric field into the first toroidal plasma cavity to generate a first plasma from one or more first source gases, and the first plasma forms a first portion of the plasma products;
wherein the first plasma generation block is configured to supply the first portion of the plasma products through the one or more first openings defined by the plate;
the apparatus further comprising:
a second plasma generation block that defines a second toroidal plasma cavity therein, a shape of the second toroidal plasma cavity being substantially symmetric about the toroidal axis, the toroidal axis defining a first and second axial side of the second plasma generation block, wherein the second plasma generation block:
is characterized by a smaller diameter than a diameter of the first plasma generation block, and
includes a second member that bounds the second toroidal plasma cavity on a radially inward side, a radially outward side, and the second axial side thereof, the second member forming radially inward and outward edges that are coplanar with one another; and
at least two magnetic elements adjacent to the second toroidal plasma cavity, that partially encircle the second toroidal plasma cavity such that when in operation, magnetic fluxes within the magnetic elements induce a corresponding electric field into the second toroidal plasma cavity to generate a second plasma from one or more second source gases, and the second plasma forms a second portion of the plasma products; and wherein:
the plate defines one or more second openings therethrough that are substantially azimuthally continuous about the toroidal axis, and
the second plasma generation block is configured to supply the second portion of the plasma products through the one or more second openings defined by the plate;
the apparatus further comprising:
a diffuser plate that:
has a diameter greater than a diameter of the first toroidal plasma cavity,
adjoins an underside of the plate at peripheral edges of the diffuser plate, such that a space forms between the plate and a proximal side of the diffuser plate, and
forms a plurality of apertures therethrough,
such that when in operation, the first and second portions of the plasma products pass from the first and second toroidal plasma cavities, through the first and second openings, into the space, and through the apertures of the diffuser plate toward a process chamber;
the apparatus further comprising a domain separator characterized as an annular feature having an outer diameter that is less than the diameter of the first toroidal plasma cavity and greater than the diameter of the second toroidal plasma cavity, wherein the domain separator contacts both the plate and the diffuser plate, and is configured to separate the first and second portions of the plasma products within the space.
2. The apparatus for supplying plasma products of claim 1, wherein the first plasma generation block defines one or more inlet apertures for supplying the one or more first source gases to the first toroidal plasma cavity.
3. The apparatus for supplying plasma products of claim 2, further comprising an inlet gas manifold disposed adjacent to the second axial side of the first plasma generation block, the one or more inlet apertures comprising a plurality of apertures defined by the first plasma generation block on the second axial side, for supplying the one or more first source gases from the inlet gas manifold to the first toroidal plasma cavity.
4. The apparatus for supplying plasma products of claim 1, wherein the first plasma generation block comprises aluminum.
5. The apparatus for supplying plasma products of claim 4, wherein the first plasma generation block comprises at least one dielectric break that is configured to interrupt azimuthal current induced by the electric field.
6. The apparatus for supplying plasma products of claim 5, wherein the dielectric break comprises a plurality of dielectric breaks that are substantially symmetrically distributed azimuthally about the first plasma generation block.
7. The apparatus for supplying plasma products of claim 5, wherein the one or more first openings extend through the at least one dielectric break.
8. The apparatus for supplying plasma products of claim 1, wherein the radially inward edge of the first member and the radially outward edge of the first member abut the first plate adjacent to ones of the first openings.
10. The apparatus for supplying plasma products of claim 9, wherein an outermost extent of the domain separator is radially inward of the one or more first openings in the plate, and an innermost extent of the domain separator is radially outward of the one or more second openings in the plate.
11. The apparatus for supplying plasma products of claim 9, further comprising provisions for independently controlling the first source gases and the second source gases, to provide control over process differences between a center region of the process chamber and an edge region of the process chamber.
12. The apparatus for supplying plasma products of claim 9, wherein the one or more first openings through the plate extend radially from the radially inward edge of the first member to the radially outward edge of the first member, and the one or more second openings through the plate extend radially from the radially inward edge of the second member to the radially outward edge of the second member.

The present disclosure applies broadly to the field of plasma processing equipment. More specifically, systems and methods for providing spatially uniform plasma products are disclosed.

Semiconductor processing often utilizes plasma processing to etch, clean or deposit material on semiconductor wafers. All such processes are advantageously highly uniform over the entire surface of a processed wafer. Wafer sizes have increased while feature sizes have decreased, significantly over the years, so that more integrated circuits can be harvested per wafer processed. Typical wafer diameters increased from about 2 or 3 inches in the 1970s to 12 inches or more in the 2010s. Over the same time frame, typical minimum feature sizes of commercial integrated circuits decreased from about 5 microns to about 0.015 microns. Processing smaller features while wafers grow larger requires significant improvements in processing uniformity. Plasma processing of workpieces other than wafers may also benefit from improved processing uniformity.

In an embodiment, an apparatus for supplying plasma products includes a plasma generation block that defines a toroidal plasma cavity therein. The plasma cavity is substantially symmetric about a toroidal axis, and the toroidal axis defines a first and second axial side of the plasma generation block. A magnetic element at least partially surrounds the plasma generation block at one azimuthal location with respect to the toroidal axis, such that a magnetic flux within the magnetic element induces a corresponding electric field into the plasma cavity to generate a plasma from one or more source gases, the plasma forming plasma products. The plasma generation block supplies the plasma products through a plurality of output apertures defined by the plasma generation block on the first axial side.

In an embodiment, an apparatus for supplying plasma products includes a plasma generation vessel that defines a plasma cavity. The plasma cavity is substantially symmetric about a toroidal axis. The plasma generation vessel includes (1) a plasma generation block that bounds the plasma cavity on radially inward, radially outward, and second axial sides thereof, and defines one or more apertures for introducing one or more source gases into the plasma cavity; and (2) a planar plate disposed on a first axial side of the plasma cavity, the planar plate defining a plurality of apertures therethrough that are azimuthally distributed about the plasma cavity. Radially inward and radially outward edges of the plasma generation block abut the planar plate to form the plasma generation vessel, substantially enclosing the plasma cavity. The apparatus further includes first and second induction coils, a power supply for providing currents within the first and second induction coils, and first and second magnetic elements extending at least partially about the plasma generation block and disposed proximate the first and second induction coils respectively, so that the currents induce magnetic fluxes within the magnetic elements, and the magnetic fluxes within the magnetic elements produce azimuthal electric fields within the plasma cavity to form a plasma from the one or more source gases, forming plasma products. The apertures through the planar plate provide fluid communication for the plasma products to an adjacent region for use in plasma processing.

In an embodiment, a method for providing plasma products includes introducing a source gas stream into a plasma generation block that defines a toroidal plasma cavity therein. The plasma cavity is substantially symmetric about a toroidal axis. The plasma generation block defines a plurality of output apertures only on a first axial side thereof relative to the toroidal axis. The output apertures are substantially azimuthally distributed about the plasma generation block. The method also includes inducing an electric field into the plasma cavity to generate a plasma from the source gas stream, the plasma forming the plasma products, and passing the plasma products through the plurality of output apertures defined by the plasma generation block.

FIG. 1 schematically illustrates major elements of a plasma processing system, according to an embodiment.

FIG. 2 schematically illustrates selected elements of a direct outlet toroidal plasma source, according to an embodiment.

FIG. 3 schematically illustrates selected elements of a direct outlet toroidal plasma source, according to an embodiment.

FIG. 4 schematically illustrates selected elements of a direct outlet toroidal plasma source, according to an embodiment.

FIG. 5 schematically illustrates selected elements of a direct outlet toroidal plasma source, according to an embodiment.

FIG. 6 is a schematic cutaway view of the direct outlet toroidal plasma source of FIG. 5, taken at broken line 6-6.

FIG. 7 is a schematic cutaway view of the direct outlet toroidal plasma source of FIG. 5, taken at broken line 7-7.

FIG. 8 schematically illustrates a direct outlet toroidal plasma source having a plasma cavity that is defined by disposing a plasma block adjacent a plate, according to an embodiment.

FIG. 9 schematically illustrates another direct outlet toroidal plasma source having a plasma cavity that is defined by disposing a plasma block adjacent a plate, according to an embodiment.

FIG. 10 schematically illustrates a direct outlet toroidal plasma source, showing an inlet gas manifold that supplies source gases to a plasma cavity therein, according to an embodiment.

FIG. 11 is a schematic cutaway view along line 11-11 of FIG. 10.

FIG. 12 schematically illustrates a plasma block of a direct outlet toroidal plasma source with an inline gas injection port for introducing source gases, according to an embodiment.

FIG. 13 schematically illustrates a plasma block of a direct outlet toroidal plasma source with a plurality of inline gas injection ports for introducing source gases, according to an embodiment.

FIG. 14 schematically illustrates a plasma block of a direct outlet toroidal plasma source, showing how outlet apertures may be defined at a plurality of angles with respect to a surface normal of a plate that forms one axial side thereof, according to an embodiment.

FIG. 15A is a schematic bottom view illustrating a direct outlet toroidal plasma sources in which four outlet apertures are defined, according to an embodiment.

FIG. 15B is a schematic bottom view illustrating a direct outlet toroidal plasma sources in which over sixty outlet apertures are defined, according to an embodiment.

FIG. 16 schematically illustrates, in a cross-sectional view, a plasma wafer processing system that includes two direct outlet toroidal plasma sources, according to an embodiment.

FIG. 17A schematically illustrates an upward facing, schematic plan view of a top surface of the plasma processing system of FIG. 16, with features of a bottom plate of the two direct toroidal plasma sources visible.

FIG. 17B schematically illustrates an upward facing, schematic plan view of a diffuser plate of the plasma processing system of FIG. 16.

FIG. 18 schematically illustrates, in a cross-sectional view, a plasma wafer processing system that includes two direct outlet toroidal plasma sources, according to an embodiment.

The present disclosure may be understood by reference to the following detailed description taken in conjunction with the drawings described below, wherein like reference numerals are used throughout the several drawings to refer to similar components. It is noted that, for purposes of illustrative clarity, certain elements in the drawings may not be drawn to scale. Specific instances of an item may be referred to by use of a numeral in parentheses (e.g., plasma blocks 210(1), 210(2), etc.) while numerals without parentheses refer to any such item (e.g., plasma blocks 210). In instances where multiple instances of an item are shown, only some of the instances may be labeled, for clarity of illustration.

FIG. 1 schematically illustrates major elements of a plasma processing system 100, according to an embodiment. System 100 is depicted as a single wafer, semiconductor wafer plasma processing system, but it will be apparent to one skilled in the art that the techniques and principles herein are applicable to plasma generation systems of any type (e.g., systems that do not necessarily process wafers or semiconductors). It should also be understood that FIG. 1 is a simplified diagram illustrating only selected, major elements of system 100; an actual processing system will accordingly look different and likely contain additional elements as compared with system 100.

Processing system 100 includes a housing 110 for a wafer interface 115, a user interface 120, a plasma processing unit 130, a controller 140 and one or more power supplies 150. Processing system 100 is supported by various utilities that may include gas(es) 155, electrical power 170, vacuum 160 and optionally others. Internal plumbing and electrical connections within processing system 100 are not shown, for clarity of illustration.

Processing system 100 is illustrated as a so-called indirect, or remote, plasma processing system that generates a plasma in a first location and directs the plasma and/or plasma products (e.g., ions, molecular fragments, free radicals, energized species and the like) to a second location where processing occurs. Thus, in FIG. 1, plasma processing unit 130 includes a remote plasma source 132 that supplies plasma and/or plasma products for a process chamber 134. Process chamber 134 includes one or more wafer pedestals 135, upon which wafer interface 115 places a workpiece 50 for processing. Workpiece 50 is, for example, a semiconductor wafer, but could any other type of workpiece to be subjected to plasma processing. In operation, gas(es) 155 are introduced into plasma source 132 and a radio frequency generator (RF Gen) 165 supplies power to ignite a plasma within plasma source 132. Plasma and/or plasma products pass from plasma source 132 to process chamber 134, where workpiece 50 is processed. Typical present day systems may, for example, transfer the plasma and/or plasma products through a nozzle 133 and/or a diffuser plate 137 in an attempt to spread and apply them uniformly. An actual plasma system may provide many other optional features or subsystems through which plasma, plasma products and/or carrier or additional processing gases flow and/or mix between plasma source 132 and process chamber 134.

The elements illustrated as part of system 100 are listed by way of example and are not exhaustive. Many other possible elements, such as: pressure and/or flow controllers; gas or plasma manifolds or distribution apparatus; ion suppression plates; electrodes, magnetic cores and/or other electromagnetic apparatus; mechanical, pressure, temperature, chemical, optical and/or electronic sensors; wafer or other workpiece handling mechanisms; viewing and/or other access ports; and the like may also be included, but are not shown for clarity of illustration. Various control schemes affecting conditions in process chamber 134 are possible. For example, a pressure may be maintained by monitoring the pressure in process chamber 134 and adjusting all gas flows upwards or downwards until the measured pressure is within some tolerance of a desired pressure. Temperatures can be controlled by adding heaters and temperature sensors. Optical sensors may detect emission peaks of plasmas as-generated and/or as they interact with workpieces.

Internal connections and cooperation of the elements illustrated within system 100 are also not shown for clarity of illustration. In addition to RF generator 165 and gases 155, other representative utilities such as vacuum 160 and/or general purpose electrical power 170 may connect with system 100. Like the elements illustrated in system 100, the utilities illustrated as connected with system 100 are intended as illustrative rather than exhaustive; other types of utilities such as heating or cooling fluids, pressurized air, network capabilities, waste disposal systems and the like may also be connected with system 100, but are not shown for clarity of illustration. Similarly, while the above description mentions that plasma is ignited within remote plasma source 132, the principles discussed below are equally applicable to so-called “direct” plasma systems that create a plasma in a the actual location of workpiece processing.

Although an indirect plasma processing system is illustrated in FIG. 1 and elsewhere in this disclosure, it should be clear to one skilled in the art that the techniques, apparatus and methods disclosed herein may also be applicable to direct plasma processing systems—e.g., where a plasma is ignited at the location of the workpiece(s). Similarly, in embodiments, the components of processing system 100 may be reorganized, redistributed and/or duplicated, for example: (1) to provide a single processing system with multiple process chambers; (2) to provide multiple remote plasma sources for a single process chamber; (3) to provide multiple workpiece fixtures (e.g., wafer pedestals 135) within a single process chamber; (4) to utilize a single remote plasma source to supply plasma products to multiple process chambers; and/or (5) to provide plasma and gas sources in serial/parallel combinations such that various source gases may be activated (e.g., exist at least temporarily as part of a plasma) zero, one, two or more times, and mixed with other source gases before or after they enter a process chamber, and the like. Gases that have not been part of a plasma are sometimes referred to as “un-activated” gases herein.

FIG. 2 schematically illustrates selected elements of a direct outlet toroidal plasma source 200, according to an embodiment. Plasma source 200 is an example of remote plasma source 132, FIG. 1. A useful coordinate system that will be used herein for describing the features shown in FIG. 2 and elsewhere defines axial positions as being along a toroidal axis 1, radial directions 2 as denoting distance from toroidal axis 1, and azimuthal directions 3 as denoting rotational direction about toroidal axis 1. Plasma sources disclosed herein will be considered to define a toroidal axis that passes through a centroid of the plasma source (not necessarily through a physical feature of the plasma source), with a plasma generation cavity of the plasma source being generally toroidal, radially symmetric with respect to the toroidal axis, and extending about the toroidal axis in a plane that is perpendicular to the toroidal axis. A major circumference 21 of a toroidal element is defined as extending azimuthally about the toroidal axis at its outer bound, e.g., as shown for plasma block 210(1) below; major circumference 21 is not shown extending all the way around plasma block 210(1) in FIG. 2, for clarity of illustration. A minor circumference extends about an element such as plasma block 210(1) at a single azimuthal location relative to the toroidal axis 1, e.g., as shown as minor circumference 22, FIG. 2.

Plasma source 200 includes plasma block 210(1), a magnetic element 220(1) and an induction coil 230. Magnetic element 220(1) extends at least partially about plasma block 210(1), and induction coil 230 winds at least partially about magnetic element 220(1). Although magnetic element 220(1) is shown in a toroidal shape, it is not necessary that magnetic elements 220 be toroidal, have a round cross section or extend completely about a plasma block 210.

Plasma block 210(1) may be evacuated, and plasma source gases may be introduced into plasma block 210(1). With the plasma source gases within plasma block 210(1), current is passed through induction coil 230, inducing magnetic flux within magnetic element 220(1), which in turn induces an electric current within plasma block 210(1), igniting a plasma.

Plasma source 200 can thus be seen to resemble a transformer in which a primary current flows through induction coil 230 and a secondary current flows within plasma block 210(1). Advantageously, plasma source 200 confines the secondary current within the gases and/or plasma ignited within plasma block 210(1), thus, advantageously, plasma block 210(1) is not formed of a conductor that defines a complete azimuthal circuit. In certain embodiments, plasma block 210(1) is fabricated of a dielectric material, however, as discussed below, aluminum is often a convenient material from which to make at least portions of plasma block 210(1). When plasma block 210(1) is made from aluminum or another substantially conductive material, external fields can be coupled into plasma block 210(1) and the azimuthal circuit path can be interrupted by including one or more dielectric breaks 240 that extend about the minor circumference of plasma block 210(1).

Plasma block 210(1) defines a plurality of output apertures, at multiple azimuthal locations, but on a single axial side thereof, through which plasma products are distributed, for use in plasma processing. For example, in FIG. 2, the output apertures are not visible, but connect with outlets 250 to distribute the plasma products in the direction of the arrows shown. By providing output apertures at multiple azimuthal locations about one axial side of plasma block 210(1), plasma source 200 advantageously provides a circular distribution of outlets 250 such that plasma products provided therein are equally distant from the plasma that generates them. This allows direct coupling of a circular pattern of plasma products to a process chamber, such that the plasma products reach the process chamber in a circular and spatially broad pattern while all points of the pattern are at a substantially equal distance from the plasma.

One advantage of the direct outlet toroidal plasma source embodiments herein lies in providing plasma products across a wide and circularly symmetric pattern in which all points are substantially equidistant from the plasma. This minimizes differences in recombination effects and wall effects that would otherwise affect process results at differing locations. While typical inductive plasma systems may generate plasma products utilizing a toroidal plasma chamber, such systems typically distribute the plasma products through a single port or nozzle that provides differing distances from the plasma to various locations on the workpiece.

Another advantage of the embodiments herein lies in the ability to generate plasmas at relatively high pressures without excessive sputtering damage to internal surfaces due to ions being accelerated by high electric fields. Embodiments herein can be operated, for example in pressure regimes of 0.5 Torr or less to 100 Torr or more. Other types of inductively coupled plasma sources often expose plasma blocks to high electric fields, such fields typically arising from induction coils that are positioned near to the plasma block. Ions in the plasma that experience such fields are accelerated in the direction of the fields, often striking the internal plasma block walls and sputtering the material thereof. Sputtering damage results in reduced equipment lifetime and/or excessive maintenance requirements, incurring labor and material costs, and tool downtime. In contrast, the designs herein minimize exposure of plasma blocks to electric fields except along the direction of the toroidal plasma cavity, such that magnetic flux steered by magnetic elements generates secondary current within the plasma itself without introducing electric fields that would cause sputtering of the plasma block surfaces. This, in turn, enables at least some embodiments herein to use plasma blocks made primarily of aluminum with untreated surfaces, as opposed to more expensive materials, or aluminum with specially treated surfaces. Treated surfaces remain an option.

Magnetic elements 220 herein are typically formed of ferrite. Induction coils 230 are typically formed of copper, optionally plated with silver for decreased outer skin electrical resistivity. Both magnetic elements 220 and induction coils 230, and certain regions or parts of plasma blocks 210, may include channels for cooling gases or liquids, as discussed further herein, to remove heat generated by electrical and magnetic losses during operation. As noted above, plasma blocks 210 may be fabricated of aluminum with untreated surfaces, or with surface treatments such as anodization, or alumina, aluminum nitride or yttria coatings. Other material choices are also possible and may be made by considering cost, machinability, electrical conductivity, thermal expansion, heat dissipation characteristics, and compatibility with intended gases and plasma products.

FIG. 3 schematically illustrates selected elements of a direct outlet toroidal plasma source 300. Plasma source 300 shares many of the same elements with plasma source 200, FIG. 2, but includes a plasma block 210(2), two magnetic elements 220(1) with respective induction coils 230. The toroidal axis 1, radial direction 2 and azimuthal direction 3 are shown again in FIG. 3 for reference. For symmetric and efficient plasma generation, magnetic elements 220(1) are located azimuthally opposite one another about plasma block 210(2). Also, plasma block 210(2) of plasma source 300 includes four dielectric breaks 240; two dielectric breaks 240 are located near magnetic elements 220(1) while the other two are located at 90 degree intervals about the circumference of plasma block 210(2) from magnetic elements 220(1).

FIG. 4 schematically illustrates selected elements of a direct outlet toroidal plasma source 400. Plasma source 400 shares many of the same elements with plasma source 200 and 300, but includes two magnetic elements 220(2) that are U- or horseshoe-shaped, instead of toroidal. Use of U- or horseshoe-shaped magnetic elements helps facilitate certain constructions of direct outlet toroidal plasma sources, as further described below.

FIG. 5 schematically illustrates selected elements of a direct outlet toroidal plasma source 500. Toroidal axis 1, radial direction 2 and azimuthal direction 3 are not shown again in FIG. 5, for clarity of illustration, but remain as defined in FIG. 2. Plasma source 500 shares many of the same elements with plasma source 200, 300 and 400. Plasma block 210(3) also called a plasma generation block herein includes metal sections 245 with dielectric breaks 240 (two of which are hidden by magnetic elements 220(3) in the view of FIG. 5). Plasma generation block 210(3) is flattened on a first axial side 211(1) (labeled, but hidden in the view of FIG. 5). Plasma generation block 210(3) defines inlet apertures 260 in sections 245. Plasma generation block 210(3) also defines outlet apertures 270 on first axial side 211(1) of plasma generation block 210(3), as shown. The locations and numbers of inlet apertures 260 and outlet apertures 270 shown in FIG. 5 are merely illustrative; not all instances of such apertures are shown or labeled, for clarity of illustration. In practice, inlet apertures 260 may be located to provide uniform source gas introduction into plasma generation block 210(3), but may otherwise be arranged for convenient integration with other components of plasma source 500. Outlet apertures 270 are typically more numerous than shown in FIG. 5, are arranged to provide uniform plasma product distribution to an adjacent process chamber, and may be defined either in sections 245 or dielectric breaks 240 (see FIGS. 6 and 7). Broken lines 6-6 and 7-7 denote planes at which the cutaway views shown in FIGS. 6 and 7, respectively, are taken.

FIGS. 6 and 7 are schematic cutaway views of direct outlet toroidal plasma source 500 taken at broken lines 6-6 and 7-7, respectively, of FIG. 5. FIG. 6 shows the cutaway taken through one of dielectric breaks 240 (hidden beneath magnetic elements 220(3) in the view of FIG. 5). The toroidal axis 1 and radial direction 2 are shown again in FIGS. 6 and 7 for reference; azimuthal direction arcs in or out of the planes of FIGS. 6 and 7, changing sign from left to right of toroidal axis 1. Some outlet apertures 270 are defined by dielectric break 240 on first axial side 211(1) of plasma generation block 210(3), as shown. A plasma cavity 280, and a plasma 299 formed therein, are also shown. FIG. 7 shows the cutaway taken through one of metal sections 245. Some outlet apertures 270 are defined by dielectric break 240 on first axial side 211(1) of plasma generation block 210(3), as shown. Plasma cavity 280, and plasma 299 formed therein, are also shown.

FIGS. 6 and 7 also show cooling tubes 275 through magnetic elements 220(3). Cooling tubes 275 may provide fluid connections for gases or liquids to remove heat dissipated by magnetic elements 220(3); the feature of providing cooling channels is contemplated for any of the magnetic elements described herein, although not shown in many cases for clarity of illustration. Similarly, cooling tubes may be provided in induction coils 230 and/or various other plasma source components; some such arrangements are shown and described herein while others are not, for clarity of illustration.

FIG. 8 schematically illustrates a portion of a direct outlet toroidal plasma source 600 in which a plasma cavity 280 is defined by disposing a plasma block 210(4) adjacent a plate 610. A direction 10 of the toroidal axis, and radial direction 2 are shown again in FIG. 8 for reference. Plate 610 forms an upper surface 612 that extends along a single plane from radially inward of a radially inward edge 212 of plasma block 210(4), to radially outward of a radially outward edge 214 of plasma block 210(4), as shown. The actual toroidal axis passes through a centroid of plasma cavity 280, outside the view of FIG. 8; an azimuthal direction arcs in and out of the plane of FIG. 8. For manufacturability and maintenance purposes, it may be advantageous to provide a plasma cavity defined by components that are easily machinable and interchangeable. Plasma source 600 thus defines plasma cavity 280 by providing plasma block 210(4) as a relatively simple shape, with the ability to seal plasma block 210(4) to plate 610 that defines outlet apertures 270 therein. The ability to seal plasma block 210(4) to plate 610 is provided by grooves 615 in plate 610 that accommodate one or more o-rings 620. When plasma cavity 280 is evacuated, external atmospheric pressure forces plasma block 210(4) against o-rings 620 to form the seal. In the embodiment shown in FIG. 8, plate 610 is formed of a dielectric material. Suitable materials for plate 610, as well as dielectric breaks 240, include ceramics, in particular aluminum nitride or aluminum oxide, or fused quartz. Forming plate 610 of a dielectric material allows dielectric breaks 240 of plasma block 210(4) to interrupt azimuthal currents so that plasma 299 can form; that is, if plate 610 were formed of metal and were electrically coupled to plasma block 210(4), electric currents induced by the magnetic elements would merely race around the azimuthal circuit thus formed, reducing the coupling of electric fields into plasma cavity 280. Dielectric breaks 240 extend completely around the minor circumference of plasma blocks 210 herein; in the azimuthal direction, dielectric breaks 240 need to be of sufficient width to inhibit electrical arcing of adjacent metal segments of the plasma block 210, such as about one quarter inch to one inch.

FIG. 9 schematically illustrates a direct outlet toroidal plasma source 700 having a plasma cavity 280 that is defined by disposing a plasma block 210(5) adjacent a plate 710. Direction 10 of the toroidal axis, and radial direction 2, are shown again in FIG. 9 for reference; azimuthal direction arcs in and out of the plane of FIG. 9. Plate 710 is formed of a conductor, and includes a dielectric barrier 720 at a surface thereof that contacts plasma block 210(5). Dielectric barrier 720 of plate 710 forms an upper surface 712 that extends along a single plane from radially inward of a radially inward edge 212 of plasma block 210(5), to radially outward of a radially outward edge 214 of plasma block 210(5), as shown. Dielectric barrier 720 thus defeats shorting of plasma block 210(5) to the conductor forming plate 710, to interrupt the azimuthal currents that would otherwise form. Plasma source 700 thus defines plasma cavity 280 by providing plasma block 210(5) as a relatively simple shape, with the ability to seal plasma block 210(5) to plate 710 that defines outlet apertures 270 therein. The ability to seal plasma block 210(4) to plate 710 is provided by grooves 715 in plasma block 210(5) that accommodate one or more o-rings 620. When plasma cavity 280 is evacuated, external atmospheric pressure forces plate 710 against o-rings 620 to form the seal. The design illustrated in FIG. 9 enables plasma block 210(5) and plate 710 to be held at different potentials; this allows control of a ratio of radicals to ions within plasma products emitted through output apertures 270 toward a processing location. FIG. 9 also shows cooling tubes 775 that provide gas or liquid cooling of plate 710. Cooling tubes 775 may be provided in other components herein, such as plasma blocks, top or bottom plates of plasma sources or plasma chambers, or side walls of plasma chambers.

FIG. 10 schematically illustrates a direct outlet toroidal plasma source 800, showing an inlet gas manifold 810 that supplies source gases to a plasma cavity therein. Radial direction 2 and azimuthal direction 3 are shown in FIG. 10 for reference; the toroidal axis 1 extends out of the plane of FIG. 10. The top view illustrated in FIG. 10 shows magnetic elements 220(3) on opposing sides of a plasma block 210(6), with a pair of gas manifolds 810 on either side. Each of gas manifolds 810 receives source gases through one or more inlets 820; although only one inlet 820 is illustrated in FIG. 10, it is understood that inlets 820 may vary in number and position. Gas manifold 810 distributes the source gases into plasma block 210(6) through apertures therein, as now discussed. A broken line 11-11 indicates a cross-sectional plane of plasma source 800 that is illustrated in further detail in FIG. 11.

FIG. 11 is a schematic cutaway view along broken line 11-11 of FIG. 10, illustrating direct outlet toroidal plasma source 800. The toroidal axis 1 and radial direction 2 are shown again in FIG. 11 for reference; azimuthal direction arcs in or out of the plane of FIG. 11, changing sign from left to right of toroidal axis 1. An inlet gas stream is introduced into gas manifolds 810 through inlet apertures 820, then passes into plasma cavity 280 through apertures 830 defined by plasma block 210(6). Inlet apertures 820 are shown as vertical (e.g., parallel with toroidal axis 1) in the view of FIG. 11, but may be defined at other angles to encourage mixing or other effects in plasma cavity 280 (see also FIGS. 12 and 13). Gas manifold 810 may be constructed to contain only a small gas volume so that changes in the source gas stream (e.g., introduced by upstream valves or other gas management equipment) rapidly transfer into plasma cavity 280. Gas manifold 810 may include a pressure sensor to provide information about pressure therein, to facilitate understanding of factors affecting plasma processing. Material choices for a gas manifold 810 are the same as for a plasma block 210 that it is associated with; for example metals such as aluminum, with or without treated surfaces, or dielectrics such as alumina, aluminum nitride, and other ceramics are possible choices. When gas manifold 810 and its associated plasma block 210 are both made of metal, it may be desirable to isolate gas manifold 810 from plasma block 210 and/or to provide dielectric breaks in gas manifold 810, to avoid completing an azimuthal electrical circuit, as discussed above.

FIG. 12 schematically illustrates a plasma block 210(7) of a direct outlet toroidal plasma source with an inline gas inlet aperture 850 for introducing source gases. The toroidal axis 1, radial direction 2 and azimuthal direction 3 are shown again in FIG. 12 for reference. Inline gas inlet aperture 850 is substantially azimuthally aligned with plasma cavity 280, as shown. Introducing a source gas stream through gas inlet aperture 850 imparts an azimuthal velocity to the injected gas to encourage thorough mixing within plasma block 210(7). In embodiments, inline gas inlet aperture 850 may be exactly azimuthally aligned, as shown in FIG. 12, however in other embodiments an inline gas inlet aperture may be only partially aligned, for example defining an angle where it intersects plasma cavity 280. A source gas passing through an inline gas inlet aperture 850 at any angle having a nonzero azimuthal component relative to the toroidal axis will generate at least some azimuthal velocity in the gas as it is introduced into plasma cavity 280.

FIG. 13 schematically illustrates a plasma block 210(8) of a direct outlet toroidal plasma source with a plurality of inline gas inlet apertures 850. The toroidal axis 1, radial direction 2 and azimuthal direction 3 are shown again in FIG. 13 for reference. It is contemplated that any number of inline gas inlet apertures 850 could be utilized, and that inline gas inlet apertures 850 could be used in combination with gas inlet apertures at other angles and/or with variations of gas manifold 810, as illustrated in FIGS. 10 and 11.

FIG. 14 schematically illustrates a plasma block 210(9) of a direct outlet toroidal plasma source 900, showing how outlet apertures 270 may be defined at a plurality of angles 920 with respect to a surface normal of a plate 910 that forms one axial side thereof. Direction 10 of the toroidal axis, and radial direction 2, are shown again in FIG. 14 for reference; azimuthal direction arcs in and out of the plane of FIG. 14. Plate 910 forms an upper surface 912 that extends along a single plane from radially inward of a radially inward edge 212 of plasma block 210(9), to radially outward of a radially outward edge 214 of plasma block 210(9), as shown. Forming outlet apertures 270 at a plurality of angles can help in distributing plasma products broadly into an adjacent plasma processing apparatus, to facilitate uniform processing.

FIG. 15A is a schematic bottom view illustration of a direct outlet toroidal plasma source 1000 in which four outlet apertures 270 are defined. The view of FIG. 15 schematically depicts a bottom plate of direct outlet toroidal plasma source 1000, with approximate boundaries of a plasma block on the other side of the plate suggested by broken lines. Even with only four outlet apertures 270, plasma source 1000 may provide significantly more uniform processing than prior art remote plasma sources that extract output from only one aperture. Toroidal axis 1 extends into the planes of FIGS. 15A and 15B; radial direction 2 and azimuthal direction 3 are shown in FIG. 15A for reference but are omitted in FIG. 15B for clarity of illustration. FIG. 15B is a schematic bottom view illustration of a direct outlet toroidal plasma source 1100 in which over sixty outlet apertures 270 are defined. The view of FIG. 15B schematically depicts a bottom plate of direct outlet toroidal plasma source 1100, with approximate boundaries of a plasma block on the other side of the plate suggested by broken lines. Geometries and materials of the corresponding plasma block, number and placement of magnetic elements and induction coils may be optimized to generate a uniform plasma distribution within the plasma block such that plasma products extracted through outlet apertures 270 are spatially uniform across plasma source 1100. In embodiments, a direct toroidal plasma source may include hundreds or thousands of outlet apertures 270.

FIG. 16 schematically illustrates, in a cross-sectional view, a plasma wafer processing system 1200 that includes two direct outlet toroidal plasma sources 1201 and 1202. Only representative components of processing system 1200 are labeled, and FIG. 16 is not drawn to scale, for clarity of illustration. The toroidal axis 1 and radial direction 2 are shown again in FIG. 16 for reference; azimuthal direction arcs in or out of the planes of FIG. 16, changing sign from left to right of toroidal axis 1. Plasma wafer processing system defines a process chamber 1234 that is radially symmetric about toroidal axis 1; thus plasma source 1201 may be considered an outer plasma source while plasma source 1202 may be considered an inner plasma source, with the toroidal axes of plasma sources 1201 and 1202, and an axis of symmetry of plasma chamber 1234, all being coincident. Again, although FIG. 16 is an embodiment directed to wafer processing, it is understood that other embodiments may utilize the same principles for processing of other workpieces.

Plasma wafer processing system 1200 utilizes plasma sources 1201 and 1202 to generate plasma products, and is configured for optional mixing of the plasma products with un-activated gases as they move from the location of the plasma to a workpiece 50 being processed. Plasma wafer processing system 1200 defines a process chamber 1234 in which a pedestal 1235 positions a workpiece 50 at a processing location, as shown. Plasma source 1201 forms an outer toroidal shape, and plasma source 1202 forms an inner toroidal shape, atop a top surface 1232 of chamber 1234. Plasma sources 1201 and 1202 may receive source gases from inlet apertures 260 or 830, as shown in FIGS. 5 and 11 respectively, with or without a gas manifold 810 as shown in FIGS. 10 and 11; such structures are not shown in the view of FIG. 16. Each of plasma sources 1201 and 1202 includes a respective plasma block 210(10) or 210(11), and utilizes induction coils 230 and magnetic elements 220(3) to generate a plasma from the source gases therein. Plasma sources 1201 and 1202 share a common bottom plate 1210 that defines apertures 270 for distributing plasma products toward process chamber 1234.

Apertures 270 in the bottom plate 1210 provide uniform, axial direction (e.g., in the direction of the toroidal axis) and short paths for plasma products to be distributed from the plasma where they originate, to workpiece 50 being processed. The use of two plasma sources 1201 and 1202, with plasma source 1201 defining an outer toroid and plasma source 1202 defining an inner toroid, provides a significant degree of freedom in optimizing center-to-edge uniformity of processing for workpiece 50. Process recipes may be optimized by varying process parameters particular to plasma sources 1201 and 1202 and measuring effects on test and/or product wafers processed in system 1200. Overall gas flows and RF energy provided to plasma sources 1201 and/or 1202 may be adjusted until the effects are uniform across each workpiece 50 processed. In embodiments, plasma sources 1201 and 1202 may run different ratios of reactive gases than one another, and/or may utilize entirely different source gases than one another.

Processing system 1200 also provides gas inlets 1270 that pass through bottom plate 1210 and top surface 1232, for supplying un-activated gases to be mixed with the plasma products (see also FIG. 17A). The number and distribution of apertures 270 and/or gas inlets 1270 shown in FIGS. 16 and 17A are representative only, and may vary among embodiments.

Bottom plate 1210 is separate from top surface 1232 of chamber 1234 in embodiments, as shown, for ease of assembly and interchangeability of parts. That is, plasma sources 1201 and 1202 may be assembled with bottom plate 1210 and installed or removed from top surface 1232 as a single unit. However, in embodiments, the features of bottom plate 1210 and top surface 1232 may be combined in a single plate.

FIG. 16 also shows an optional diffuser plate 1237 that defines apertures 1247 for the plasma products to proceed into process chamber 1234. Diffuser plate 1237 can also include one or more gas passages 1239 to conduct un-activated gases that can mix with the plasma products. For example, as shown in FIG. 16, gas passage 1239 connects with output gas apertures 1241 defined in a chamber-facing side of diffuser plate 1237 (see also FIG. 17B). The number and distribution of apertures 1247 and/or output gas apertures 1241 shown in FIGS. 16 and 17B are representative only, and may vary among embodiments.

FIG. 17A schematically illustrates an upward facing, schematic plan view of top surface 1232 of plasma processing system 1200, FIG. 16, with features of bottom plate 1210 of direct toroidal plasma sources 1201 and 1202 visible. Only representative components of top surface 1232 and bottom plate 1210 are labeled, and FIG. 17A is not drawn to scale, for clarity of illustration. The toroidal axis, radial and azimuthal directions are not shown in FIGS. 17A and 17B, but can be determined from those shown in other drawings. The numerous apertures 270 provide paths for plasma products from plasma sources 1201 and 1202 that are very short and uniform in length, from the plasmas where they are generated, to the workpiece being processed, promoting uniform processing across chamber 1234. For example, paths from plasma within plasma sources 1201 and/or 1202, to any location on workpiece 50, may be less than four inches, in embodiments. Providing gas inlets 1270 interspersed with apertures 270 enables mixing un-activated gases with the plasma products. Certain embodiments advantageously process wafers with plasma products and un-activated gases as they emerge from the structures shown in FIG. 17A, that is, in processing systems 1200 that do not include diffuser 1237. Other embodiments may benefit from the additional gas and plasma product mixing provided by diffuser plate 1237.

FIG. 17B schematically illustrates an upward facing, schematic plan view of diffuser 1237. Only representative features of diffuser plate 1237 are labeled, and FIG. 17B is not drawn to scale, for clarity of illustration. Apertures 1247 (illustrated as open circles) extend all the way through diffuser plate 1237, while outlet gas apertures 1241 (illustrated as dots) extend only into the bottom surface of diffuser plate 1237, where they are supplied with un-activated gas from gas passage 1239. Diffuser 1237 facilitates further mixing and fine control of ratios of plasma products to un-activated gases, which may be advantageous for some plasma processes, but may be unnecessary for others.

FIG. 18 schematically illustrates, in a cross-sectional view, a plasma wafer processing system 1300 that includes two direct outlet toroidal plasma sources 1301 and 1302. Again, although FIG. 18 is an embodiment directed to wafer processing, it is understood that other embodiments may utilize the same principles for processing of other workpieces. Many features of processing system 1300 will be recognized as substantially similar to systems previously described and are not described again. The toroidal axis 1 and radial direction 2 are shown again in FIG. 18 for reference; azimuthal direction arcs in or out of the planes of FIG. 18, changing sign from left to right of toroidal axis 1. Plasma wafer processing system 1300 defines a process chamber 1334 that is radially symmetric about toroidal axis 1; thus plasma source 1301 may be considered an outer plasma source while plasma source 1302 may be considered an inner plasma source, with the toroidal axes of plasma sources 1301 and 1302, and an axis of symmetry of plasma chamber 1334, all being coincident. FIG. 18 illustrates the additional feature of plasma blocks 210(12) and 210(13) that are in open fluid communication with underlying spaces, rather than being bounded by bottom plates. FIG. 18 also illustrates a domain separator 1360.

Similar to the respective locations of plasma sources 1201 and 1202, plasma sources 1301 and 1302 define inner and outer toroidal shapes atop a process chamber 1334. Plasma sources 1301 and 1302 may receive source gases from inlet apertures 260 or 830, as shown in FIGS. 5 and 11 respectively, with or without a gas manifold 810 as shown in FIGS. 10 and 11; such structures are not shown in the view of FIG. 18. Plasma sources 1301 and 1302 include a bottom plate 1310, through which respective plasma blocks 210(12) and 210(13) extend toward process chamber 1334, as shown. Within process chamber 1334, a pedestal 1335 positions workpiece 50 for processing. Plasma blocks 210(12) and/or 210(13) form substantially azimuthally continuous openings 1370 on a first axial side thereof, that is, plasma sources 1301 and 1302 are not substantially bounded on the first axial side, as are plasma sources 1201, 1202 and others previously discussed. Openings 1370 may be substantially azimuthally continuous in that they extend significantly in the azimuthal direction through plasma blocks 210(12) and 210(13) of plasma sources 1301 and 1302, and a top plate 1332 of process chamber 1334. In this context “substantially azimuthally continuous” does not preclude interruptions to openings 1370 to provide mechanical support for radially inner portions of top plate 1332; openings corresponding to at least about 75% of the major circumference of either plasma source would be considered substantially azimuthally continuous. Also, it is not critical that both plasma blocks 210(12) and 210(13) define substantially azimuthally continuous openings; in embodiments, one of plasma blocks 210(12) and 210(13) defines a substantially azimuthally continuous opening while the other does not. Thus, plasma cavities of plasma sources 1301 and 1302 are in fluid communication with spaces 1355, 1357 formed in an upper portion of process chamber 1334, such that plasma products will pass out of plasma blocks 210(12) and 210(13) through openings 1370 into spaces 1355 and 1357. From spaces 1355, 1357 the plasma products pass through apertures 1347 of a diffuser plate 1337 into process chamber 1334.

Plasma wafer processing system 1300 may, of course, include provisions for supplying source gases to plasma sources 1301 and 1302 (such as individual gas inlet apertures and/or gas manifolds as described in connection with FIGS. 10-13) and for adding further gases to the plasma products, such as gas inlets 1270 and/or gas passages 1239 illustrated in FIGS. 16, 17A and 17B.

The open design of plasma blocks 210(12) and 210(13) means that pressure in each of spaces 1355, 1357 beneath each plasma block will be substantially determined by input gas flow to the respective plasma blocks. Separation of spaces 1355 and 1357 can thus be maintained, if desired, by using a domain separator 1360. Domain separator 1360 is a circular feature that contacts both top plate 1332 and diffuser plate 1337 about its complete circumference; the cross-sectional view of FIG. 18 shows only two portions of domain separator 1360 that pass through the cross-sectional plane. Domain separator 1360 is typically formed of dielectric material and enforces a separation between plasma products supplied to a center region and an edge region of process chamber 1334. This separation can be used, in embodiments, to control center and edge processing effects separately within process chamber 1334, and thus to optimize center-to-edge processing uniformity at workpiece 50.

The provisions for supplying source gases to plasma sources 1301 and 1302 may be independently controllable so that process effects at a center region and an edge region of the process chamber (e.g., corresponding approximately to those regions most influenced by plasma sources 1302 and 1301 respectively) can be adjusted for best processing uniformity. Independent controllability of source gases to plasma sources 1301 and 1302 may be advantageous whether or not domain separator 1360 is present.

From the preceding descriptions, it should be clear that one, two or more toroidal plasma sources may be utilized to provide uniform distributions of plasma products to a process chamber, by extracting the plasma products from axial sides of the plasma sources along short travel paths to the process chamber. A plurality of toroidal plasma sources may be disposed with elements such as respective plasma blocks, dielectric breaks of the plasma blocks, magnetic elements, induction coils, cooling apparatus, output apertures, inlet gas manifolds and other associated elements arranged for best uniformity and shortest travel paths of plasma products to the process chamber.

Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.

Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the electrode” includes reference to one or more electrodes and equivalents thereof known to those skilled in the art, and so forth. Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Lubomirsky, Dmitry

Patent Priority Assignee Title
Patent Priority Assignee Title
10026621, Nov 14 2016 Applied Materials, Inc SiN spacer profile patterning
10032606, Aug 02 2012 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
10043674, Aug 04 2017 Applied Materials, Inc Germanium etching systems and methods
10043684, Feb 06 2017 Applied Materials, Inc Self-limiting atomic thermal etching systems and methods
10049891, May 31 2017 Applied Materials, Inc Selective in situ cobalt residue removal
10062578, Mar 14 2011 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
10062579, Oct 07 2016 Applied Materials, Inc Selective SiN lateral recess
10062585, Oct 04 2016 Applied Materials, Inc Oxygen compatible plasma source
10062587, Jul 18 2012 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
10083830, Nov 02 2007 Canon Anelva Corporation Substrate cleaning method for removing oxide film
10147620, Aug 06 2015 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
10147736, Sep 03 2015 Kioxia Corporation Semiconductor memory device and method for manufacturing same
10269541, Jun 02 2014 Applied Materials, Inc Workpiece processing chamber having a thermal controlled microwave window
10319739, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
2369620,
3401302,
3451840,
3537474,
3756511,
3937857, Jul 22 1974 AMP Incorporated Catalyst for electroless deposition of metals
3969077, Dec 16 1971 Varian Associates Alkali metal leak detection method and apparatus
4006047, Jul 22 1974 AMP Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
4190488, Aug 21 1978 International Business Machines Corporation Etching method using noble gas halides
4209357, May 18 1979 MOTOROLA, INC , A DE CORP Plasma reactor apparatus
4214946, Feb 21 1979 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
4232060, May 24 1974 MacDermid Incorporated Method of preparing substrate surface for electroless plating and products produced thereby
4234628, May 14 1976 M&T HARSHAW Two-step preplate system for polymeric surfaces
4265943, Nov 27 1978 MacDermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
4340462, Feb 13 1981 LAM RESEARCH CORPORATION A CORP OF DELAWARE Adjustable electrode plasma processing chamber
4341592, Aug 04 1975 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
4361418, May 06 1980 Risdon Corporation High vacuum processing system having improved recycle draw-down capability under high humidity ambient atmospheric conditions
4364803, Dec 02 1980 Oronzio de Nora Impianti Elettrochimici S.p.A. Deposition of catalytic electrodes on ion-exchange membranes
4368223, Jun 01 1981 Asahi Glass Company, Ltd. Process for preparing nickel layer
4374698, Jul 11 1980 U S PHILIPS CORPORATION Method of manufacturing a semiconductor device
4381441, Oct 30 1980 AT & T TECHNOLOGIES, INC , Methods of and apparatus for trimming film resistors
4397812, May 24 1974 MacDermid Incorporated Electroless nickel polyalloys
4468413, Feb 15 1982 PLASMA OPTICAL FIBRE B V Method of manufacturing fluorine-doped optical fibers
4565601, Nov 28 1983 Hitachi, Ltd. Method and apparatus for controlling sample temperature
4579618, Jan 06 1984 MOTOROLA, INC , A DE CORP Plasma reactor apparatus
4585920, May 21 1982 MOTOROLA, INC , A DE CORP Plasma reactor removable insert
4600464, May 01 1985 International Business Machines Corporation Plasma etching reactor with reduced plasma potential
4610775, Jul 26 1985 Westinghouse Electric Corp. Method and apparatus for clearing short-circuited, high-voltage cathodes in a sputtering chamber
4625678, May 28 1982 Fujitsu Limited Apparatus for plasma chemical vapor deposition
4632857, May 24 1974 MACDERMID ACUMEN, INC Electrolessly plated product having a polymetallic catalytic film underlayer
4656052, Feb 13 1984 Kyocera Corporation Process for production of high-hardness boron nitride film
4656076, Apr 26 1985 TriQuint Semiconductor, Inc Self-aligned recessed gate process
4668335, Aug 30 1985 RPX Corporation Anti-corrosion treatment for patterning of metallic layers
4690746, Feb 24 1986 AIXTRON, INC Interlayer dielectric process
4715937, May 05 1986 The Board of Trustees of the Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
4749440, Aug 28 1985 FSI International, Inc Gaseous process and apparatus for removing films from substrates
4753898, Jul 09 1987 Freescale Semiconductor, Inc LDD CMOS process
4786360, Mar 30 1987 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
4792378, Dec 15 1987 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
4793897, Mar 20 1987 APPLIED MATERIALS, INC , A CORP OF CA Selective thin film etch process
4807016, Jul 15 1985 Texas Instruments Incorporated; TEXAS INSTRUMENTS INCORPORATED, 13500 NORTH CENTRAL EXPRESSWAY, DALLAS, TEXAS 75265, A CORP OF DE Dry etch of phosphosilicate glass with selectivity to undoped oxide
4810520, Sep 23 1987 Seagate Technology LLC Method for controlling electroless magnetic plating
4816638, Feb 20 1987 Anelva Corporation Vacuum processing apparatus
4820377, Jul 16 1987 Texas Instruments Incorporated Method for cleanup processing chamber and vacuum process module
4828649, Jul 16 1987 Texas Instruments Incorporated Method for etching an aluminum film doped with silicon
4838990, Jul 16 1987 Texas Instruments Incorporated Method for plasma etching tungsten
4851370, Dec 28 1987 AGERE Systems Inc Fabricating a semiconductor device with low defect density oxide
4857140, Jul 16 1987 Texas Instruments Incorporated Method for etching silicon nitride
4865685, Nov 03 1987 North Carolina State University Dry etching of silicon carbide
4867841, Jul 16 1987 Texas Instruments Incorporated Method for etch of polysilicon film
4868071, Feb 24 1987 Parlex Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
4872947, Dec 19 1986 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
4878994, Jul 16 1987 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
4886570, Jul 16 1987 Texas Instruments Incorporated Processing apparatus and method
4892753, Dec 19 1986 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
4894352, Oct 26 1988 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
4904341, Aug 22 1988 Westinghouse Electric Corporation Selective silicon dioxide etchant for superconductor integrated circuits
4904621, Jul 16 1987 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
4913929, Apr 21 1987 BOARD OF TRUSTEES OF THE LELAND STANFORD JUNIOR UNIVERSITY, THE Thermal/microwave remote plasma multiprocessing reactor and method of use
4919750, Sep 14 1987 International Business Machines Corporation Etching metal films with complexing chloride plasma
4946903, Mar 27 1989 The Research Foundation of State University of NY Oxyfluoropolymers having chemically reactive surface functionality and increased surface energies
4951601, Dec 19 1986 Applied Materials, Inc. Multi-chamber integrated process system
4960488, Dec 19 1986 Applied Materials, Inc. Reactor chamber self-cleaning process
4980018, Nov 14 1989 Intel Corporation Plasma etching process for refractory metal vias
4981551, Nov 03 1987 NORTH CAROLINA STATE UNIVERSITY AT RALEIGH, RALEIGH, WAKE, NC A CONSTITUENT INSTITUTION OF THE UNIVERSITY OF NC AND AN EDUCATIONAL INSTITUTION OF NC Dry etching of silicon carbide
4985372, Feb 17 1989 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
4991542, Oct 14 1987 The Furukawa Electric Co., Ltd. Method of forming a thin film by plasma CVD and apapratus for forming a thin film
4992136, Jul 29 1987 Hitachi, Ltd. Dry etching method
4993358, Jul 28 1989 AVIZA TECHNOLOGY, INC Chemical vapor deposition reactor and method of operation
4994404, Aug 28 1989 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
5000113, Dec 19 1986 Applied Materials, Inc Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
5006192, Jun 28 1988 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
5010842, Oct 25 1988 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film
5013691, Jul 31 1989 CHASE MANHATTAN BANK, AS ADMINISTRATIVE AGENT, THE Anisotropic deposition of silicon dioxide
5028565, Aug 25 1989 Applied Materials, Inc Process for CVD deposition of tungsten layer on semiconductor wafer
5030319, Dec 27 1988 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
5038713, May 25 1988 Canon Kabushiki Kaisha Microwave plasma treating apparatus
5045244, May 26 1988 Albermarle Corporation Preparation of metal halide-amine complexes
5061838, Jun 23 1989 Massachusetts Institute of Technology Toroidal electron cyclotron resonance reactor
5069938, Jun 07 1990 Applied Materials, Inc Method of forming a corrosion-resistant protective coating on aluminum substrate
5074456, Sep 18 1990 Lam Research Corporation Composite electrode for plasma processes
5083030, Dec 14 1987 Applied Photonics Research Double-sided radiation-assisted processing apparatus
5089441, Apr 16 1990 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
5089442, Sep 20 1990 AT&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced CVD
5147692, May 08 1990 MACDERMID ACUMEN, INC Electroless plating of nickel onto surfaces such as copper or fused tungston
5156881, Mar 18 1987 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
5180435, Sep 24 1987 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
5186718, May 19 1989 Applied Materials, Inc. Staged-vacuum wafer processing system and method
5188706, Mar 18 1989 Kabushiki Kaisha Toshiba Method of manufacturing an X-ray exposure mask and device for controlling the internal stress of thin films
5198034, Mar 31 1987 ASM America, Inc Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
5200016, Oct 12 1990 Seiko Epson Corporation Semiconductor device manufacturing apparatus
5203911, Jun 24 1991 Shipley Company Inc. Controlled electroless plating
5215787, Jan 23 1991 NEC Electronics Corporation Method of forming silicon oxide film containing fluorine
5217559, Dec 10 1990 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
5221427, Dec 31 1990 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Plasma generating device and method of plasma processing
5228501, Dec 19 1986 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
5231690, Mar 12 1990 NGK Insulators, Ltd. Wafer heaters for use in semiconductor-producing apparatus and heating units using such wafer heaters
5235139, Sep 12 1990 MACDERMID ACUMEN, INC Method for fabricating printed circuits
5238499, Jul 16 1990 Novellus Systems, Inc. Gas-based substrate protection during processing
5240497, Oct 08 1991 Cornell Research Foundation, Inc. Alkaline free electroless deposition
5248371, Aug 13 1992 General Signal Corporation Hollow-anode glow discharge apparatus
5248527, Mar 01 1991 C. Uyemura and Company, Limited Process for electroless plating tin, lead or tin-lead alloy
5252178, Jun 24 1992 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
5266157, Oct 04 1990 Sony Corporation Dry etching method
5269881, Sep 03 1991 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus and plasma cleaning method
5270125, Jul 11 1989 REDWOOD MICROSYSTEMS, INC A CORP OF CALIFORNIA Boron nutride membrane in wafer structure
5271972, Aug 17 1992 FLEET NATIONAL BANK, AS AGENT Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
5275977, Mar 19 1990 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
5277750, Mar 05 1991 Siemens Aktiengesellschaft Method for anisotropic dry etching of metallization layers, containing aluminum or aluminum alloys, in integrated semiconductor circuits
5279669, Dec 13 1991 International Business Machines Corporation; INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORPORATION OF NEW YORK Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
5279865, Jun 28 1991 HEWLETT-PACKARD DEVELOPMENT COMPANY, L P High throughput interlevel dielectric gap filling process
5288518, Jun 07 1991 Renesas Electronics Corporation Chemical vapor deposition method for forming fluorine containing silicon oxide film
5290382, Dec 13 1991 INTEGRATED PROCESS EQUIPMENT CORP Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
5290383, Mar 24 1991 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
5292370, Aug 14 1992 Energy, United States Department of Coupled microwave ECR and radio-frequency plasma source for plasma processing
5292682, Jul 06 1993 Semiconductor Components Industries, LLC Method of making two-phase charge coupled device
5300463, Mar 06 1992 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
5302233, Mar 19 1993 Round Rock Research, LLC Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
5304250, Jul 11 1991 SONY CORPORATION A CORP OF JAPAN Plasma system comprising hollow mesh plate electrode
5306530, Nov 23 1992 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
5314724, Jan 08 1991 Fujitsu Semiconductor Limited Process for forming silicon oxide film
5319247, Oct 30 1990 Renesas Electronics Corporation Semiconductor device having an interlayer insulating film of high crack resistance
5326427, Sep 11 1992 LSI Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
5328218, Mar 07 1989 ATOMA ROLTRA S P A Electric lock actuator device
5328558, Mar 25 1992 Tokyo Electron Limited; Yasuhiro, Horiike Method for etching an SiO2 film
5330578, Mar 12 1991 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Plasma treatment apparatus
5334552, Dec 04 1991 NEC Electronics Corporation Method for fabricating a semiconductor device having a multi-layered interconnection structure
5345999, Mar 17 1993 Applied Materials, Inc.; Applied Materials, Inc Method and apparatus for cooling semiconductor wafers
5352636, Jan 16 1992 APPLIED MATERIALS, INC A CORPORATION OF DELAWARE In situ method for cleaning silicon surface and forming layer thereon in same chamber
5356478, Jun 22 1992 Lam Research Corporation Plasma cleaning method for removing residues in a plasma treatment chamber
5362526, Dec 19 1986 Applied Materials, Inc. Plasma-enhanced CVD process using TEOS for depositing silicon oxide
5366585, Jan 28 1993 Applied Materials, Inc Method and apparatus for protection of conductive surfaces in a plasma processing reactor
5368897, Apr 03 1987 Fujitsu Limited Method for arc discharge plasma vapor deposition of diamond
5378316, Apr 03 1991 Eastman Kodak Company High durability mask for dry etch processing of GaAs
5380560, Jul 28 1992 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
5382311, Dec 17 1992 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
5384284, Oct 01 1993 Round Rock Research, LLC Method to form a low resistant bond pad interconnect
5385763, Mar 18 1987 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
5399237, Jan 27 1994 Applied Materials, Inc Etching titanium nitride using carbon-fluoride and carbon-oxide gas
5399529, May 27 1992 NEC Corporation Process for producing semiconductor devices
5403434, Jan 06 1994 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
5413670, Jul 08 1993 VERSUM MATERIALS US, LLC Method for plasma etching or cleaning with diluted NF3
5413967, May 16 1991 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
5415890, Jan 03 1994 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
5416048, Apr 16 1993 Micron Technology, Inc Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
5420075, Apr 15 1992 NEC Electronics Corporation Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator
5429995, Jul 17 1992 Kabushiki Kaisha Toshiba Method of manufacturing silicon oxide film containing fluorine
5439553, Mar 30 1994 PRIMAXX, INC Controlled etching of oxides via gas phase reactions
5451259, Feb 17 1994 ECR plasma source for remote processing
5464499, Jun 24 1992 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
5468342, Apr 28 1994 Invensas Corporation Method of etching an oxide layer
5474589, Nov 28 1990 Sumitomo Electric Industries, Ltd. UV light-permeable glass and article comprising the same
5478403, Oct 31 1988 Fujitsu Limited Process and apparatus for ashing treatment
5478462, Feb 24 1987 Parlex Corporation Process for forming polyimide-metal laminates
5483920, Aug 05 1993 Board of Governors of Wayne State University Method of forming cubic boron nitride films
5494494, Jun 24 1992 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
5500249, Dec 22 1992 Applied Materials, Inc Uniform tungsten silicide films produced by chemical vapor deposition
5505816, Dec 16 1993 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
5510216, Aug 25 1993 Shipley Company Inc. Selective metallization process
5516367, Apr 05 1993 Applied Materials, Inc. Chemical vapor deposition chamber with a purge guide
5518962, Nov 26 1992 NEC Electronics Corporation Planarized interlayer insulating film formed of stacked BPSG film and ozone-teos NSG film in semiconductor device and method for forming the same
5531835, May 18 1994 Applied Materials, Inc Patterned susceptor to reduce electrostatic force in a CVD chamber
5534070, Mar 31 1993 Canon Kabushiki Kaisha Plasma CVD process using a very-high-frequency and plasma CVD apparatus
5536360, Apr 09 1993 International Business Machines Corporation Method for etching boron nitride
5549780, Oct 21 1991 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Method for plasma processing and apparatus for plasma processing
5556521, Mar 24 1995 Tokyo Electron Limited Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
5558717, Nov 30 1994 Applied Materials, Inc CVD Processing chamber
5560779, Jul 12 1993 PRIMEX TECHNOLOGIES, INC Apparatus for synthesizing diamond films utilizing an arc plasma
5563105, Sep 30 1994 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
5567243, Jun 03 1994 Tokyo Electron Limited Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
5571576, Feb 10 1995 Applied Materials, Inc Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
5575853, Jul 01 1994 Tokyo Electron Limited Vacuum exhaust system for processing apparatus
5578130, Dec 12 1990 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Apparatus and method for depositing a film
5578161, Apr 30 1991 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of trench formation process
5580385, Jun 30 1994 Texas Instruments, Incorporated; Texas Instruments Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
5580421, Jun 14 1994 FSI International Apparatus for surface conditioning
5591269, Jun 24 1993 Tokyo Electron Limited; Tokyo Electron Tohoku Limited; SHIN-ETSU CHEMICAL CO , LTD Vacuum processing apparatus
5592358, Jul 18 1994 Applied Materials, Inc Electrostatic chuck for magnetic flux processing
5595606, Apr 20 1995 Tokyo Electron Limited Shower head and film forming apparatus using the same
5597439, Oct 26 1994 Applied Materials, Inc.; Applied Materials, Inc Process gas inlet and distribution passages
5599740, Nov 16 1995 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
5614055, Aug 27 1993 Applied Materials, Inc High density plasma CVD and etching reactor
5616518, Sep 27 1990 Lucent Technologies Inc Process for fabricating integrating circuits
5624582, Jan 21 1993 VLSI Technology, Inc. Optimization of dry etching through the control of helium backside pressure
5626922, Sep 25 1990 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method
5628829, Jun 03 1994 Tokyo Electron Limited Method and apparatus for low temperature deposition of CVD and PECVD films
5635086, Oct 10 1995 ESAB GROUP, INC , THE Laser-plasma arc metal cutting apparatus
5645645, Apr 07 1995 Board of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
5648125, Nov 16 1995 Fidelity Chemical Products Corporation Electroless plating process for the manufacture of printed circuit boards
5648175, Feb 14 1996 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
5656093, Mar 08 1996 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
5660957, May 16 1996 Fujitsu Limited Electron-beam treatment procedure for patterned mask layers
5661093, Sep 12 1996 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
5670066, Mar 17 1995 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
5674787, Jan 16 1996 Cornell Research Foundation, Inc Selective electroless copper deposited interconnect plugs for ULSI applications
5676758, Aug 06 1995 Anelva Corporation CVD apparatus
5679606, Dec 27 1995 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
5685946, Aug 11 1993 NATIONAL AERONAUTICS AND SPACE ADMINISTRATION, UNITED STATES OF AMERICA, THE, AS REPRESENTED BY THE ADMINISTRATOR OF Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices
5688331, May 27 1993 Applied Materisls, Inc. Resistance heated stem mounted aluminum susceptor assembly
5695810, Nov 20 1996 Cornell Research Foundation, Inc.; Sematech, Inc.; Intel Corporation Use of cobalt tungsten phosphide as a barrier material for copper metallization
5712185, Apr 23 1996 United Microelectronics Method for forming shallow trench isolation
5716500, Oct 18 1993 SAVCOR COATINGS OY Method and an apparatus for generation of a discharge in own vapors of a radio frequency electrode for sustained self-sputtering and evaporation of the electrode
5716506, Oct 06 1995 Board of Trustees of the University of Illinois Electrochemical sensors for gas detection
5719085, Sep 29 1995 Intel Corporation Shallow trench isolation technique
5733816, Dec 13 1995 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
5747373, Sep 24 1996 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. Nitride-oxide sidewall spacer for salicide formation
5753886, Feb 07 1995 Seiko Epson Corporation Plasma treatment apparatus and method
5755859, Aug 24 1995 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
5756400, Dec 08 1995 Applied Materials, Inc Method and apparatus for cleaning by-products from plasma chamber surfaces
5756402, Dec 28 1992 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
5772770, Jan 27 1995 KOKUSAI ELECTRIC CO , LTD Substrate processing apparatus
5781693, Jul 24 1996 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
5786276, Mar 31 1997 Applied Materials, Inc Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
5788825, Dec 30 1996 Samsung Electronics Co., Ltd. Vacuum pumping system for a sputtering device
5789300, Feb 25 1997 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
5792376, Jan 06 1995 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
5800686, Apr 05 1993 Applied Materials, Inc Chemical vapor deposition chamber with substrate edge protection
5804259, Nov 07 1996 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
5812403, Nov 13 1996 Applied Materials, Inc Methods and apparatus for cleaning surfaces in a substrate processing system
5814238, Oct 12 1995 Sandia Corporation Method for dry etching of transition metals
5814365, Aug 15 1997 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
5820723, Jun 05 1996 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
5824599, Jan 16 1996 Cornell Research Foundation, Inc Protected encapsulation of catalytic layer for electroless copper interconnect
5830805, Nov 18 1996 Cornell Research Foundation; Sematech, Inc.; Intel Corporation Electroless deposition equipment or apparatus and method of performing electroless deposition
5835334, Sep 30 1996 LAM RESEARCH Variable high temperature chuck for high density plasma chemical vapor deposition
5843538, Dec 09 1996 John L., Raymond Method for electroless nickel plating of metal substrates
5843847, Apr 29 1996 Applied Materials, Inc Method for etching dielectric layers with high selectivity and low microloading
5844195, Nov 18 1996 Applied Materials, Inc Remote plasma source
5846332, Jul 12 1996 Applied Materials, Inc Thermally floating pedestal collar in a chemical vapor deposition chamber
5846373, Jun 28 1996 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
5846375, Sep 26 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
5846598, Nov 30 1995 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
5849639, Nov 26 1997 Bell Semiconductor, LLC Method for removing etching residues and contaminants
5850105, Mar 21 1997 GLOBALFOUNDRIES Inc Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
5855681, Nov 18 1996 Applied Materials, Inc Ultra high throughput wafer vacuum processing system
5855685, Oct 09 1995 Anelva Corporation Plasma enhanced CVD apparatus, plasma enhanced processing apparatus and plasma enhanced CVD method
5856240, Apr 05 1993 Applied Materials, Inc Chemical vapor deposition of a thin film onto a substrate
5858876, Apr 01 1996 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
5863376, Jun 05 1996 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
5865896, Aug 27 1993 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
5866483, Apr 04 1997 Applied Materials, Inc Method for anisotropically etching tungsten using SF6, CHF3, and N2
5868897, Jul 31 1996 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
5872052, Feb 12 1996 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
5872058, Jun 17 1997 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
5882424, Jan 21 1997 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field
5882786, Nov 15 1996 CHARLES & COLVARD, LTD Gemstones formed of silicon carbide with diamond coating
5883012, Dec 21 1995 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method of etching a trench into a semiconductor substrate
5885404, Nov 05 1996 SAMSUNG ELECTRONICS CO , LTD Pedestal with self retaining sealing ring for semiconductor device etching system
5885749, Jun 20 1997 Clear Logic, Inc.; CLEAR SEMICONDUCTOR, INC Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
5888906, Sep 16 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Plasmaless dry contact cleaning method using interhalogen compounds
5891349, Oct 11 1995 Anelva Corporation Plasma enhanced CVD apparatus and process, and dry etching apparatus and process
5891513, Jan 16 1996 Cornell Research Foundation, Inc Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
5897751, Mar 11 1991 Lawrence Livermore National Security LLC Method of fabricating boron containing coatings
5899752, Jul 30 1993 Applied Materials, Inc Method for in-situ cleaning of native oxide from silicon surfaces
5900163, May 08 1996 SAMSUNG ELECTRONICS CO , LTD Methods for performing plasma etching operations on microelectronic structures
5904827, Oct 15 1996 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
5907790, Jul 15 1993 Astarix Inc. Aluminum-palladium alloy for initiation of electroless plating
5910340, Oct 23 1995 C UYEMURA & CO , LTD Electroless nickel plating solution and method
5913147, Jan 21 1997 GLOBALFOUNDRIES Inc Method for fabricating copper-aluminum metallization
5913978, Apr 20 1995 Tokyo Electron Limited; VARIAN JAPAN K K Apparatus and method for regulating pressure in two chambers
5915190, Dec 27 1995 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
5918116, Nov 30 1994 Bell Semiconductor, LLC Process for forming gate oxides possessing different thicknesses on a semiconductor substrate
5919332, Jun 07 1995 Tokyo Electron Limited Plasma processing apparatus
5920792, Mar 19 1998 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
5926737, Aug 19 1997 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
5928528, Sep 03 1996 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Plasma treatment method and plasma treatment system
5932077, Feb 09 1998 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
5933757, Jun 23 1997 Bell Semiconductor, LLC Etch process selective to cobalt silicide for formation of integrated circuit structures
5935334, Nov 13 1996 Applied Materials, Inc Substrate processing apparatus with bottom-mounted remote plasma system
5935340, Nov 13 1996 Applied Materials, Inc Method and apparatus for gettering fluorine from chamber material surfaces
5937323, Jun 03 1997 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
5939831, Nov 13 1996 Applied Materials, Inc Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
5942075, Jun 18 1995 Tokyo Electron Limited Plasma processing apparatus
5944049, Jul 15 1997 Applied Materials, Inc.; Leybold Vacuum GmbH Apparatus and method for regulating a pressure in a chamber
5944902, Feb 10 1997 Applied Materials, Inc. Plasma source for HDP-CVD chamber
5948702, Nov 10 1997 Texas Instruments Incorporated Selective removal of TixNy
5951601, Mar 25 1996 OTOKINETICS INC Attaching an implantable hearing aid microactuator
5951776, Oct 25 1996 Applied Materials, Inc Self aligning lift mechanism
5951896, Dec 04 1996 Kokusai Semiconductor Equipment Corporation Rapid thermal processing heater technology and method of use
5953591, Dec 28 1995 Nippon Sanso Corporation Process for laser detection of gas and contaminants in a wafer transport gas tunnel
5953635, Dec 19 1996 Intel Corporation Interlayer dielectric with a composite dielectric stack
5963840, Nov 13 1996 Applied Materials, Inc Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
5968379, Jul 14 1995 BAE SYSTEMS ADVANCED CERAMICS INC High temperature ceramic heater assembly with RF capability and related methods
5968587, Nov 13 1996 Applied Materials, Inc Systems and methods for controlling the temperature of a vapor deposition apparatus
5968610, Apr 02 1997 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
5969422, May 15 1997 AMD TECHNOLOGIES HOLDINGS, INC ; GLOBALFOUNDRIES Inc Plated copper interconnect structure
5976327, Dec 12 1997 Applied Materials, Inc Step coverage and overhang improvement by pedestal bias voltage modulation
5982100, Jul 28 1997 Pars, Inc. Inductively coupled plasma reactor
5990000, Feb 20 1997 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
5990013, Dec 04 1996 France Telecom Process for treating a semiconductor substrate comprising a surface-treatment step
5993916, Jul 12 1996 Applied Materials, Inc Method for substrate processing with improved throughput and yield
5994209, Nov 13 1996 Applied Materials, Inc Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
5997649, Apr 09 1998 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
5997962, Jun 30 1995 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
6004884, Feb 15 1996 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
6007635, Nov 26 1997 Kokusai Semiconductor Equipment Corporation Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
6007785, May 20 1998 Academia Sinica Apparatus for efficient ozone generation
6010962, Feb 12 1999 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
6013191, Oct 27 1997 ADVANCE REFACTORY TECHNOLOGIES, INC Method of polishing CVD diamond films by oxygen plasma
6013584, Feb 19 1997 Applied Materials, Inc.; Applied Materials, Inc Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
6015724, Nov 02 1995 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
6015747, Dec 07 1998 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
6017414, Mar 31 1997 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
6019848, Nov 13 1996 Applied Materials Inc Lid assembly for high temperature processing chamber
6020271, Feb 04 1997 Sony Corporation Manufacturing method of semiconductor device
6030666, Mar 31 1997 Lam Research Corporation Method for microwave plasma substrate heating
6030881, May 05 1998 Novellus Systems, Inc.; International Business Machines Corporation High throughput chemical vapor deposition process capable of filling high aspect ratio structures
6035101, Feb 12 1997 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
6036878, May 13 1996 Applied Materials, Inc Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
6037018, Jul 01 1998 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
6037266, Sep 28 1998 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
6039834, Mar 05 1997 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
6039851, Mar 22 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
6053982, Sep 01 1995 ASM America, Inc Wafer support system
6059643, Feb 21 1997 HANGER SOLUTIONS, LLC Apparatus and method for polishing a flat surface using a belted polishing pad
6063683, Jul 27 1998 ACER SEMICONDUCTOR MANUFACTURING INC ; TSMC-ACER Semiconductor Manufacturing Corporation; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
6063712, Nov 25 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Oxide etch and method of etching
6065424, Dec 19 1995 Cornell Research Foundation, Inc Electroless deposition of metal films with spray processor
6065425, Mar 21 1997 Canon Kabushiki Kaisha Plasma process apparatus and plasma process method
6072147, Dec 05 1996 Tokyo Electron Limited Plasma processing system
6072227, Feb 11 1998 Applied Materials, Inc Low power method of depositing a low k dielectric with organo silane
6074512, Jun 27 1991 Applied Materials, Inc Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
6074514, Feb 09 1998 Applied Materials, Inc High selectivity etch using an external plasma discharge
6077384, Aug 11 1994 Applied Materials, Inc Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
6077386, Apr 23 1998 Sandia Corporation Method and apparatus for monitoring plasma processing operations
6077780, Dec 03 1997 GLOBALFOUNDRIES Inc Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
6079356, Dec 02 1997 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
6080529, Dec 12 1997 Applied Materials, Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
6081414, May 01 1998 Applied Materials, Inc Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
6083344, May 29 1997 Applied Materials, Inc Multi-zone RF inductively coupled source configuration
6083844, Dec 22 1997 Lam Research Corporation Techniques for etching an oxide layer
6086677, Jun 16 1998 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
6087278, Jun 16 1998 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor devices having an HDP-CVD oxide layer as a passivation layer
6090212, Aug 15 1997 Kokusai Semiconductor Equipment Corporation Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
6093457, Mar 27 1997 Matsushita Electric Industrial Co., Ltd. Method for plasma processing
6093594, Apr 29 1998 GLOBALFOUNDRIES Inc CMOS optimization method utilizing sacrificial sidewall spacer
6099697, Apr 13 1999 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
6107199, Oct 24 1998 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
6110530, Jun 25 1999 Applied Materials, Inc CVD method of depositing copper films by using improved organocopper precursor blend
6110832, Apr 28 1999 GLOBALFOUNDRIES Inc Method and apparatus for slurry polishing
6110836, Apr 22 1999 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
6110838, Apr 29 1994 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
6113771, Apr 21 1998 Applied Materials, Inc. Electro deposition chemistry
6114216, Nov 13 1996 Applied Materials, Inc Methods for shallow trench isolation
6117245, Apr 08 1998 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
6120640, Dec 19 1996 Applied Materials, Inc Boron carbide parts and coatings in a plasma reactor
6124003, Apr 02 1998 Nissin Electric Co., Ltd. Film depositing method and film depositing apparatus
6126753, May 13 1998 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
6132512, Jan 08 1997 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
6136163, Mar 05 1999 Applied Materials, Inc Apparatus for electro-chemical deposition with thermal anneal chamber
6136165, Nov 26 1997 CVC Products, Inc.; CVC PRODUCTS, INC Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
6136685, Jun 03 1997 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
6136693, Oct 27 1997 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
6140234, Jan 20 1998 GLOBALFOUNDRIES Inc Method to selectively fill recesses with conductive metal
6144099, Mar 30 1999 Advanced Micro Devices, Inc. Semiconductor metalization barrier
6147009, Jun 29 1998 Semiconductor Manufacturing International Corporation Hydrogenated oxidized silicon carbon material
6148761, Jun 16 1998 Applied Materials, Inc.; Applied Materials, Inc Dual channel gas distribution plate
6149828, May 05 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Supercritical etching compositions and method of using same
6150628, Jun 26 1997 MKS Instruments, Inc Toroidal low-field reactive gas source
6153935, Sep 30 1999 GLOBALFOUNDRIES Inc Dual etch stop/diffusion barrier for damascene interconnects
6161500, Sep 30 1997 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
6161576, Jun 23 1999 BARCLAYS BANK PLC, AS COLLATERAL AGENT Integrated turbo pump and control valve system
6162302, Nov 16 1999 Agilent Technologies Method of cleaning quartz substrates using conductive solutions
6162370, Aug 28 1998 VERSUM MATERIALS US, LLC Composition and method for selectively etching a silicon nitride film
6165912, Sep 17 1998 SCP SERVICES, INC Electroless metal deposition of electronic components in an enclosable vessel
6167834, Jun 13 1990 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
6169021, Jul 06 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of making a metallized recess in a substrate
6170428, Jul 15 1996 Applied Materials, Inc Symmetric tunable inductively coupled HDP-CVD reactor
6171661, Feb 25 1998 Applied Materials, Inc. Deposition of copper with increased adhesion
6174450, Apr 16 1997 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
6174812, Jun 08 1999 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
6176198, Nov 02 1998 Applied Materials, Inc Apparatus and method for depositing low K dielectric materials
6176667, Apr 30 1996 Applied Materials, Inc Multideck wafer processing system
6177245, Jun 16 1994 Syngenta Investment Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
6179924, Apr 28 1998 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
6180523, Oct 13 1998 Industrial Technology Research Institute Copper metallization of USLI by electroless process
6182602, Jul 15 1996 Applied Materials, Inc Inductively coupled HDP-CVD reactor
6182603, Jul 13 1998 Applied Materials, Inc; APPLIED KOMATSU TECHNOLOGY, INC Surface-treated shower head for use in a substrate processing chamber
6184121, Jul 10 1997 GLOBALFOUNDRIES Inc Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
6184489, Apr 13 1998 Renesas Electronics Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
6186091, Feb 11 1998 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
6189483, May 29 1997 Applied Materials, Inc Process kit
6190233, Feb 20 1997 Applied Materials, Inc Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
6194038, Mar 20 1998 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
6197151, Mar 01 1996 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
6197181, Mar 20 1998 Applied Materials Inc Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
6197364, Aug 22 1995 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
6197680, Jan 25 1999 United Microelectronics Corp Method for forming conductive line
6197688, Feb 12 1998 Freescale Semiconductor, Inc Interconnect structure in a semiconductor device and method of formation
6197705, Mar 18 1999 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
6198616, Apr 03 1998 Applied Materials, Inc Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
6200412, Feb 16 1996 Novellus Systems, Inc Chemical vapor deposition system including dedicated cleaning gas injection
6203863, Nov 27 1998 United Microelectronics Corp. Method of gap filling
6204200, May 05 1997 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
6210486, Jul 16 1997 Tokyo Electron Limited CVD film forming method in which a film formation preventing gas is supplied in a direction from a rear surface of an object to be processed
6217658, Jun 03 1997 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
6220201, Aug 27 1993 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
6225745, Dec 17 1999 Lam Research Corporation Dual plasma source for plasma process chamber
6228233, Nov 30 1998 Applied Materials, Inc Inflatable compliant bladder assembly
6228751, Sep 08 1995 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
6228758, Oct 14 1998 GLOBALFOUNDRIES Inc Method of making dual damascene conductive interconnections and integrated circuit device comprising same
6235643, Aug 10 1999 Applied Materials, Inc Method for etching a trench having rounded top and bottom corners in a silicon substrate
6237527, Aug 06 1999 Axcelis Technologies, Inc System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
6238513, Dec 28 1999 International Business Machines Corporation Wafer lift assembly
6238582, Mar 30 1999 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
6241845, Jun 05 1996 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
6242349, Dec 09 1998 GLOBALFOUNDRIES Inc Method of forming copper/copper alloy interconnection with reduced electromigration
6242360, Jun 29 1999 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
6244211, May 19 1999 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
6245396, Feb 26 1998 Anelva Corporation CVD apparatus and method of using same
6245670, Feb 19 1999 GLOBALFOUNDRIES Inc Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
6251236, Nov 30 1998 Applied Materials, Inc Cathode contact ring for electrochemical deposition
6251802, Oct 19 1998 Round Rock Research, LLC Methods of forming carbon-containing layers
6258170, Sep 11 1997 Applied Materials, Inc Vaporization and deposition apparatus
6258220, Apr 08 1999 Applied Materials, Inc Electro-chemical deposition system
6258223, Jul 09 1999 Applied Materials, Inc In-situ electroless copper seed layer enhancement in an electroplating system
6258270, Jan 07 1997 GKSS-Forschungszentrum Geesthacht GmbH Filtration apparatus having channeled flow guide elements
6261637, Dec 15 1995 Enthone-OMI, Inc.; ENTHONE-OMI, INC Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
6267074, Feb 24 1997 Lam Research Corporation Plasma treatment systems
6277733, Oct 05 1998 Texas Instruments Incroporated Oxygen-free, dry plasma process for polymer removal
6277752, Jun 28 1999 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
6277763, Dec 16 1999 Applied Materials, Inc Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
6281072, May 11 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Multiple step methods for forming conformal layers
6281135, Aug 05 1999 Lam Research Corporation Oxygen free plasma stripping process
6284146, Jun 13 1996 Samsung Electronics Co., Ltd. Etching gas mixture for transition metal thin film and method for etching transition metal thin film using the same
6291282, Feb 26 1999 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
6291348, Nov 30 2000 GLOBALFOUNDRIES U S INC Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
6303044, Mar 31 1997 Lam Research Corporation Method of and apparatus for detecting and controlling in situ cleaning time of vacuum processing chambers
6303418, Jun 30 2000 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
6306246, Jan 14 2000 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
6306772, Apr 19 2000 ProMOS Technology, Inc; Mosel Vitelic Inc; Siemens AG Deep trench bottle-shaped etching using Cl2 gas
6308654, Oct 18 1996 Applied Materials, Inc Inductively coupled parallel-plate plasma reactor with a conical dome
6308776, Jan 21 1994 FSI International, Inc. Temperature control apparatus with recirculated coolant
6310755, May 07 1999 Applied Materials, Inc Electrostatic chuck having gas cavity and method
6312554, Dec 05 1996 Applied Materials, Inc. Apparatus and method for controlling the ratio of reactive to non-reactive ions in a semiconductor wafer processing chamber
6312995, Mar 08 1999 GLOBALFOUNDRIES Inc MOS transistor with assisted-gates and ultra-shallow "Psuedo" source and drain extensions for ultra-large-scale integration
6319387, Aug 31 1999 Applied Materials Inc Copper alloy electroplating bath for microelectronic applications
6321587, Oct 15 1999 Radian International LLC Solid state fluorine sensor system and method
6322716, Aug 30 1999 MORGAN STANLEY SENIOR FUNDING, INC Method for conditioning a plasma etch chamber
6323128, May 26 1999 AURIGA INNOVATIONS, INC Method for forming Co-W-P-Au films
6335288, Aug 24 2000 Applied Materials, Inc.; Applied Materials, Inc Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
6340435, Feb 11 1998 Applied Materials, Inc Integrated low K dielectrics and etch stops
6342733, Jul 27 1999 GLOBALFOUNDRIES Inc Reduced electromigration and stressed induced migration of Cu wires by surface coating
6344410, Mar 30 1999 Advanced Micro Devices, Inc. Manufacturing method for semiconductor metalization barrier
6348407, Mar 15 2001 Chartered Semiconductor Manufacturing Method to improve adhesion of organic dielectrics in dual damascene interconnects
6350320, Feb 22 2000 Applied Materials, Inc Heater for processing chamber
6350697, Dec 22 1999 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
6351013, Jul 13 1999 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
6352081, Jul 09 1999 Applied Materials, Inc Method of cleaning a semiconductor device processing chamber after a copper etch process
6355573, May 10 1999 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Plasma processing method and apparatus
6358827, Jan 19 2001 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
6364949, Oct 19 1999 Applied Materials, Inc.; Applied Materials, Inc 300 mm CVD chamber design for metal-organic thin film deposition
6364954, Dec 14 1998 Applied Materials, Inc.; Applied Materials, Inc High temperature chemical vapor deposition chamber
6364957, Oct 09 1997 Applied Materials, Inc Support assembly with thermal expansion compensation
6375748, Sep 01 1999 Applied Materials, Inc.; Applied Materials, Inc Method and apparatus for preventing edge deposition
6376386, Feb 25 1997 Fujitsu Semiconductor Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
6379575, Oct 21 1997 Applied Materials, Inc Treatment of etching chambers using activated cleaning gas
6383896, Sep 16 1999 NISSIN ELECTRIC CO , LTD Thin film forming method and thin film forming apparatus
6383951, Sep 03 1998 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
6387207, Apr 28 2000 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
6391753, Jun 20 2000 Advanced Micro Devices, Inc. Process for forming gate conductors
6395150, Apr 01 1998 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
6403491, Nov 01 2000 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
6415736, Jun 30 1999 Lam Research Corporation Gas distribution apparatus for semiconductor processing
6416647, Apr 21 1998 Applied Materials, Inc Electro-chemical deposition cell for face-up processing of single semiconductor substrates
6416874, Mar 12 1998 Xerox Corporation Coated photographic papers
6423284, Oct 18 1999 BHT SERVICES PTE LTD Fluorine abatement using steam injection in oxidation treatment of semiconductor manufacturing effluent gases
6427623, Jun 23 2000 Anelva Corporation Chemical vapor deposition system
6429465, Dec 13 1999 Fuji Xerox Co., Ltd. Nitride semiconductor device and method of manufacturing the same
6432819, Sep 27 1999 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
6432831, Jun 30 1999 Lam Research Corporation Gas distribution apparatus for semiconductor processing
6436193, Apr 07 1999 Tokyo Electron Limited Gas processing apparatus baffle member, and gas processing method
6436816, Jul 31 1998 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method of electroless plating copper on nitride barrier
6440863, Sep 04 1998 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
6441492, Sep 10 1999 LIBERTY PATENTS LLC Diffusion barriers for copper interconnect systems
6446572, Aug 18 2000 Tokyo Electron Limited Embedded plasma source for plasma density improvement
6447636, Feb 16 2000 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
6448537, Dec 11 2000 Single-wafer process chamber thermal convection processes
6458718, Apr 28 2000 ASM JAPAN K K Fluorine-containing materials and processes
6461974, Oct 06 2000 Lam Research Corporation High temperature tungsten etching process
6462371, Nov 24 1998 Round Rock Research, LLC Films doped with carbon for use in integrated circuit technology
6462372, Oct 09 2001 Silicon-Based Technology Corp. Scaled stack-gate flash memory device
6465051, Apr 28 1994 Applied Materials, Inc. Method of operating high density plasma CVD reactor with combined inductive and capacitive coupling
6465350, Nov 29 1999 Texas Instruments Incorporated Aluminum nitride thin film formation on integrated circuits
6465366, Sep 12 2000 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
6477980, Jan 20 2000 Applied Materials, Inc Flexibly suspended gas distribution manifold for plasma chamber
6479373, Feb 20 1997 Polaris Innovations Limited Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
6488984, Dec 29 1998 Applied Materials Inc. Film deposition method and apparatus
6494959, Jan 28 2000 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
6499425, Jan 22 1999 Micron Technology, Inc Quasi-remote plasma processing method and apparatus
6500728, May 24 2002 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
6503843, Sep 21 1999 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
6506291, Jun 14 2001 Applied Materials, Inc.; Applied Materials, Inc Substrate support with multilevel heat transfer mechanism
6509283, May 13 1998 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
6509623, Jun 15 2000 Newport Fab, LLC Microelectronic air-gap structures and methods of forming the same
6516815, Jul 09 1999 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
6518548, Apr 02 1997 Hitachi, Ltd. Substrate temperature control system and method for controlling temperature of substrate
6527968, Mar 27 2000 Applied Materials Inc. Two-stage self-cleaning silicon etch process
6528409, Apr 29 2002 GLOBALFOUNDRIES U S INC Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
6528751, Mar 17 2000 Applied Materials, Inc Plasma reactor with overhead RF electrode tuned to the plasma
6531069, Jun 22 2000 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
6537707, Mar 15 2000 Agilent Technologies, Inc.; Agilent Technologies Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
6537733, Feb 23 2001 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
6541397, Mar 29 2002 Applied Materials, Inc. Removable amorphous carbon CMP stop
6541671, Feb 13 2002 Los Alamos National Security, LLC Synthesis of 2H- and 13C-substituted dithanes
6544340, Dec 08 2000 Applied Materials, Inc. Heater with detachable ceramic top plate
6547977, Apr 02 1998 Applied Materials Inc. Method for etching low k dielectrics
6551924, Nov 02 1999 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
6558564, Apr 05 2000 Applied Materials Inc. Plasma energy control by inducing plasma instability
6565661, Jun 04 1999 Lam Research Corporation High flow conductance and high thermal conductance showerhead system and method
6565729, Mar 20 1998 Applied Materials Inc Method for electrochemically depositing metal on a semiconductor workpiece
6569773, Dec 24 1998 Atmel Corporation Method for anisotropic plasma-chemical dry etching of silicon nitride layers using a gas mixture containing fluorine
6572937, Nov 30 1999 Los Alamos National Security, LLC Method for producing fluorinated diamond-like carbon films
6573030, Feb 17 2000 Applied Materials, Inc Method for depositing an amorphous carbon layer
6573606, Jun 14 2001 GOOGLE LLC Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
6585851, Mar 07 1997 Tadahiro, Ohmi; Tokyo Electron Limited Plasma etching device
6586163, Jun 02 1999 Sharp Kabushiki Kaisha Method of forming fine pattern
6596599, Jul 16 2001 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
6596654, Aug 24 2001 Novellus Systems, Inc. Gap fill for high aspect ratio structures
6602434, Mar 27 1998 Applied Materials, Inc Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
6602806, Aug 17 1999 Applied Materials, Inc Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
6603269, Jun 13 2000 Applied Materials, Inc Resonant chamber applicator for remote plasma source
6605874, Dec 19 2001 Intel Corporation Method of making semiconductor device using an interconnect
6616967, Apr 15 2002 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
6627532, Feb 11 1998 Applied Materials, Inc Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
6635575, Aug 17 1999 Applied Materials, Inc Methods and apparatus to enhance properties of Si-O-C low K films
6635578, Feb 09 1998 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
6638810, Feb 22 2000 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
6645301, Aug 09 2001 Saintech Pty Limited Ion source
6645550, Jun 22 2000 Applied Materials, Inc Method of treating a substrate
6656831, Jan 26 2000 Applied Materials, Inc Plasma-enhanced chemical vapor deposition of a metal nitride layer
6656837, Oct 11 2001 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
6656848, Feb 22 2002 Lam Research Corporation Plasma chamber conditioning
6663715, Nov 10 1999 NEC Corporation; Anelva Corporation Plasma CVD apparatus for large area CVD film
6673200, May 30 2002 Bell Semiconductor, LLC Method of reducing process plasma damage using optical spectroscopy
6677242, Aug 12 2000 Applied Materials, Inc Integrated shallow trench isolation approach
6679981, May 11 2000 Applied Materials, Inc Inductive plasma loop enhancing magnetron sputtering
6688375, Oct 14 1997 Applied Materials, Inc Vacuum processing system having improved substrate heating and cooling
6713356, Jun 28 1999 France Télécom Method for making a semiconductor device comprising a stack alternately consisting of silicon layers and dielectric material layers
6713835, May 22 2003 GOOGLE LLC Method for manufacturing a multi-level interconnect structure
6717189, Jun 01 2001 Ebara Corporation Electroless plating liquid and semiconductor device
6720213, Jan 15 2003 GLOBALFOUNDRIES U S INC Low-K gate spacers by fluorine implantation
6736147, Jan 18 2000 ASM Japan K.K. Semiconductor-processing device provided with a remote plasma source for self-cleaning
6736987, Jul 12 2000 Techbank Corporation Silicon etching apparatus using XeF2
6740247, Feb 05 1999 Massachusetts Institute of Technology HF vapor phase wafer cleaning and oxide etching
6740585, Jul 25 2001 Applied Materials, Inc Barrier formation using novel sputter deposition method with PVD, CVD, or ALD
6740977, Apr 24 2002 Samsung Electronics Co., Ltd. Insulating layers in semiconductor devices having a multi-layer nanolaminate structure of SiNx thin film and BN thin film and methods for forming the same
6743473, Feb 16 2000 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
6743732, Jan 26 2001 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
6756235, Aug 20 1999 Tokyo Electron Limited Metal oxide film formation method and apparatus
6759261, May 07 1999 Tokyo Institute of Technology Thin film-structure and a method for producing the same
6762127, Aug 23 2001 Applied Materials, Inc Etch process for dielectric materials comprising oxidized organo silane materials
6762435, Nov 20 2000 Intel Corporation Semiconductor device with boron containing carbon doped silicon oxide layer
6764958, Jul 28 2000 Applied Materials, Inc Method of depositing dielectric films
6765273, Jun 30 1997 Intel Corporation Device structure and method for reducing silicide encroachment
6767834, Nov 24 2000 Samsung Electronics Co., Ltd. Method of manufacturing a contact of a semiconductor device using cluster apparatus having at least one plasma pretreatment module
6768079, Nov 08 2001 Sumitomo Osaka Cement Co. Ltd. Susceptor with built-in plasma generation electrode and manufacturing method therefor
6770166, Jun 29 2001 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
6772827, Jan 20 2000 Applied Materials, Inc Suspended gas distribution manifold for plasma chamber
6792889, Jan 30 2002 ALPS ALPINE CO , LTD Plasma processing apparatus and method capable of performing uniform plasma treatment by control of excitation power
6794290, Dec 03 2001 Novellus Systems, Inc. Method of chemical modification of structure topography
6794311, Jul 14 2000 Applied Materials, Inc Method and apparatus for treating low k dielectric layers to reduce diffusion
6796314, Sep 07 2001 Novellus Systems, Inc Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
6797189, Mar 25 1999 Applied Materials, Inc Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
6800336, Oct 30 1999 Method and device for plasma coating surfaces
6800830, Aug 18 2000 Hitachi Kokusai Electric, Inc Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
6802944, Oct 23 2002 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
6808564, Jun 30 1998 SEH America, Inc. In-situ post epitaxial treatment process
6808747, Dec 19 1996 Coating boron carbide on aluminum
6808748, Jan 23 2003 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
6815633, Jun 26 1997 MKS Instruments, Inc Inductively-coupled toroidal plasma source
6821571, Jun 18 1999 Applied Materials Inc.; Applied Materials, Inc Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
6823589, Jan 20 2000 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
6828241, Jan 07 2002 Applied Materials, Inc.; Applied Materials, Inc Efficient cleaning by secondary in-situ activation of etch precursor from remote plasma source
6830624, May 02 2003 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
6835995, Sep 03 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Low dielectric constant material for integrated circuit fabrication
6846745, Aug 03 2001 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
6849854, Jan 18 2001 Saintech Pty Ltd. Ion source
6852550, Aug 29 2002 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT MRAM sense layer area control
6853533, Jun 09 2000 Applied Materials, Inc Full area temperature controlled electrostatic chuck and method of fabricating same
6858153, Feb 11 1998 Applied Materials Inc. Integrated low K dielectrics and etch stops
6861097, Oct 17 1997 SHIPLEY COMPANY, L L C Electroless plating processes
6861332, Nov 21 2002 Intel Corporation Air gap interconnect method
6869880, Jan 24 2002 Applied Materials, Inc.; Applied Materials, Inc In situ application of etch back for improved deposition into high-aspect-ratio features
6875280, Feb 10 2000 KOKUSAI ELECTRIC CORPORATION Substrate processing apparatus and substrate processing method
6878206, Jul 16 2001 Applied Materials, Inc.; Applied Materials, Inc Lid assembly for a processing system to facilitate sequential deposition techniques
6879981, Jan 16 2001 DATACLOUD TECHNOLOGIES, LLC Sharing live data with a non cooperative DBMS
6886491, Mar 19 2001 WONIK IPS CO , LTD Plasma chemical vapor deposition apparatus
6892669, Feb 26 1998 Anelva Corporation CVD apparatus
6893967, Jan 13 2004 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
6897532, Apr 15 2002 CENTRE NATIONAL DE RECHERCHE SCIENTIFIQUE Magnetic tunneling junction configuration and a method for making the same
6900596, Jul 09 2002 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
6903511, May 06 2003 Zond, Inc.; ZOND, INC Generation of uniformly-distributed plasma
6908862, May 03 2002 Applied Materials, Inc HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
6911112, Nov 29 2001 Samsung Electronics Co., Ltd. Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
6911401, Dec 03 1999 Applied Materials, Inc. Method for CVD process control for enhancing device performance
6916399, Jun 03 1999 Applied Materials Inc Temperature controlled window with a fluid supply system
6921556, Apr 12 2002 ASM JAPAN K K Method of film deposition using single-wafer-processing type CVD
6924191, Jun 20 2002 Applied Materials, Inc Method for fabricating a gate structure of a field effect transistor
6930047, Oct 04 2000 Semiconductor Energy Laboratory Co., Ltd. Dry etching apparatus, etching method, and method of forming a wiring
6935269, May 02 2000 SEM TECHNOLOGY CO , LTD ; LEE, HAG-JOO Apparatus for treating the surface with neutral particle beams
6942753, Apr 16 2003 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
6946033, Sep 16 2002 Applied Materials, Inc Heated gas distribution plate for a processing chamber
6951821, Mar 17 2003 Tokyo Electron Limited Processing system and method for chemically treating a substrate
6958175, Mar 28 2001 Kabushiki Kaisha Watanabe Shoko Film forming method and film forming device
6958286, Jan 02 2004 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
6969619, Feb 18 2003 Novellus Systems, Inc. Full spectrum endpoint detection
6972840, May 30 2002 Bell Semiconductor, LLC Method of reducing process plasma damage using optical spectroscopy
6995073, Jul 16 2003 TAHOE RESEARCH, LTD Air gap integration
7017269, Jan 20 2000 Applied Materials, Inc. Suspended gas distribution plate
7018941, Apr 21 2004 Applied Materials, Inc. Post treatment of low k dielectric films
7030034, Sep 18 2003 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
7037846, Apr 06 2001 Lam Research Corporation Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing
7049200, May 25 2004 Applied Materials Inc. Method for forming a low thermal budget spacer
7052553, Dec 01 2004 Lam Research Corporation Wet cleaning of electrostatic chucks
7071532, Sep 30 2003 GLOBALFOUNDRIES U S INC Adjustable self-aligned air gap dielectric for low capacitance wiring
7084070, Mar 30 2001 Lam Research Corporation Treatment for corrosion in substrate processing
7115525, Sep 02 2004 Round Rock Research, LLC Method for integrated circuit fabrication using pitch multiplication
7122949, Jun 21 2004 Neocera, LLC Cylindrical electron beam generating/triggering device and method for generation of electrons
7138767, Sep 30 2004 Tokyo Electron Limited Surface wave plasma processing system and method of using
7145725, Aug 13 2003 Seiko Epson Corporation Micro lens and fabrication method of micro lens, optical device, optical transmitter, laser printer head, and laser printer
7148155, Oct 26 2004 Novellus Systems, Inc Sequential deposition/anneal film densification method
7166233, Aug 17 1999 Tokyo Electron Limited Pulsed plasma processing method and apparatus
7183214, Mar 29 2005 Samsung Electronics Co., Lgd. High-density plasma (HDP) chemical vapor deposition (CVD) methods and methods of fabricating semiconductor devices employing the same
7196342, Mar 10 2004 ASML NETHERLANDS B V Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
7226805, Mar 18 2004 Cree, Inc. Sequential lithographic methods to reduce stacking fault nucleation sites
7235137, Jan 23 2001 Tokyo Electron Limited Conductor treating single-wafer type treating device and method for semi-conductor treating
7244474, Mar 26 2004 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
7252011, Mar 11 2002 BARCLAYS BANK PLC, AS COLLATERAL AGENT Surface area deposition trap
7252716, Nov 15 2002 Samsung Electronics Co., Ltd. Gas injection apparatus for semiconductor processing system
7253123, Jan 10 2005 Applied Materials, Inc. Method for producing gate stack sidewall spacers
7256370, Mar 15 2002 STEED TECHNOLOGY, INC Vacuum thermal annealer
7274004, Apr 30 2001 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
7288482, May 04 2005 GLOBALFOUNDRIES U S INC Silicon nitride etching methods
7291360, Mar 26 2004 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
7297894, Sep 25 2006 Tokyo Electron Limited Method for multi-step temperature control of a substrate
7316761, Feb 03 2003 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
7329608, May 14 1999 The Regents of the University of California Method of processing a substrate
7341633, Oct 15 2003 Applied Materials, Inc Apparatus for electroless deposition
7344912, Mar 01 2005 MONTEREY RESEARCH, LLC Method for patterning electrically conducting poly(phenyl acetylene) and poly(diphenyl acetylene)
7358192, Apr 08 2004 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
7361865, May 26 2004 Kyocera Corporation Heater for heating a wafer and method for fabricating the same
7364956, Jul 26 2005 Hitachi High-Technologies Corporation Method for manufacturing semiconductor devices
7365016, Dec 27 2004 TELEDYNE DIGITAL IMAGING, INC Anhydrous HF release of process for MEMS devices
7396480, Feb 26 2004 Applied Materials, Inc. Method for front end of line fabrication
7396773, Dec 06 2002 MORGAN STANLEY SENIOR FUNDING, INC Method for cleaning a gate stack
7416989, Jun 30 2006 Novellus Systems, Inc Adsorption based material removal process
7465358, Oct 15 2003 Applied Materials, Inc Measurement techniques for controlling aspects of a electroless deposition process
7465953, Jan 07 2005 Board of Regents, The University of Texas System Positioning of nanoparticles and fabrication of single election devices
7468319, Jul 20 2004 DONGBU ELECTRONICS CO , LTD Method for preventing a metal corrosion in a semiconductor device
7479303, May 19 2005 EPPZ Method for chemical vapor deposition (CVD) with showerhead and method thereof
7484473, Jan 20 2000 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
7488688, Oct 25 1999 Samsung Electronics Co., Ltd. Method of removing oxide layer and semiconductor manufacturing apparatus for removing oxide layer
7494545, Feb 03 2006 Applied Materials, Inc. Epitaxial deposition process and apparatus
7500445, Jan 27 2003 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
7504040, Mar 06 2001 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
7520957, Feb 26 2004 Applied Materials, Inc. Lid assembly for front end of line fabrication
7553756, Nov 16 2005 Hitachi, Ltd. Process for producing semiconductor integrated circuit device
7575007, Aug 23 2006 Applied Materials, Inc. Chamber recovery after opening barrier over copper
7581511, Oct 10 2003 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
7604708, Feb 14 2003 Applied Materials, Inc Cleaning of native oxide with hydrogen-containing radicals
7611980, Aug 30 2006 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
7628897, Oct 23 2002 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
7658799, Nov 19 2003 Tokyo Electron Limited Plasma film-forming apparatus and plasma film-forming method
7682518, Aug 28 2003 Applied Materials, Inc. Process for etching a metal layer suitable for use in photomask fabrication
7695590, Mar 26 2004 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
7708859, Apr 30 2004 Lam Research Corporation Gas distribution system having fast gas switching capabilities
7722925, Feb 24 2004 Applied Materials, Inc. Showerhead mounting to accommodate thermal expansion
7723221, May 16 2006 NLT TECHNOLOGIES, LTD Stacked film patterning method and gate electrode forming method
7749326, May 22 2008 SAMSUNG ELECTRONICS CO , LTD Chemical vapor deposition apparatus
7780790, Mar 28 2007 Canon Anelva Corporation Vacuum processing apparatus
7785672, Apr 20 2004 Applied Materials, Inc.; Applied Materials, Inc Method of controlling the film properties of PECVD-deposited thin films
7790634, May 30 2006 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
7806077, Jul 30 2004 RECARBON, INC Plasma nozzle array for providing uniform scalable microwave plasma generation
7806078, Aug 09 2002 Mitsubishi Heavy Industries, Ltd. Plasma treatment apparatus
7807578, Jun 01 2007 Applied Materials, Inc.; Applied Materials, Inc Frequency doubling using spacer mask
7825038, May 30 2006 Applied Materials, Inc Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
7837828, Mar 12 2003 Tokyo Electron Limited Substrate supporting structure for semiconductor processing, and plasma processing device
7845309, Jul 13 2004 Nordson Corporation Ultra high speed uniform plasma processing system
7867926, Jun 29 2007 Tokyo Electron Limited Substrate processing apparatus and method
7906818, Mar 13 2008 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Memory array with a pair of memory-cell strings to a single conductive pillar
7915139, Dec 29 2005 Novellus Systems, Inc. CVD flowable gap fill
7922863, Dec 22 2006 Applied Materials, Inc. Apparatus for integrated gas and radiation delivery
7932181, Jun 20 2006 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
7939422, Dec 07 2006 Applied Materials, Inc Methods of thin film process
7968441, Oct 08 2008 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
7976631, Oct 16 2007 Applied Materials, Inc Multi-gas straight channel showerhead
7981806, Mar 19 2007 Hynix Semiconductor Inc. Method for forming trench and method for fabricating semiconductor device using the same
7989365, Aug 18 2009 Applied Materials, Inc.; Applied Materials, Inc Remote plasma source seasoning
8008166, Jul 26 2007 Applied Materials, Inc Method and apparatus for cleaning a substrate surface
8048811, Mar 31 2008 Advanced Micro Devices, Inc. Method for patterning a metallization layer by reducing resist strip induced damage of the dielectric material
8058179, Dec 23 2008 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
8071482, May 21 2007 FUJI ELECTRIC CO , LTD Manufacturing method of a silicon carbide semiconductor device
8074599, May 12 2004 Applied Materials, Inc Plasma uniformity control by gas diffuser curvature
8076198, Jan 14 2009 Samsung Electronics Co., Ltd. Method of fabricating nonvolatile memory device
8083853, May 12 2004 Applied Materials, Inc Plasma uniformity control by gas diffuser hole design
8114245, Nov 26 1999 Tadahiro Ohmi; Tokyo Electron Limited Plasma etching device
8119530, Dec 25 2006 National University Corporation Nagoya University; Tokyo Electron Limited Pattern forming method and semiconductor device manufacturing method
8133349, Nov 03 2010 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
8173228, Jan 27 2006 Applied Materials, Inc Particle reduction on surfaces of chemical vapor deposition processing apparatus
8187486, Dec 13 2007 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
8199454, Dec 26 2007 Shinko Electric Industries Co., Ltd. Electrostatic chuck and substrate temperature adjusting-fixing device
8211808, Aug 31 2009 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
8216486, Oct 31 2007 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
8222128, Feb 19 2003 Panasonic Corporation Method for introducing impurities and apparatus for introducing impurities
8252194, May 02 2008 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods of removing silicon oxide
8272346, Apr 10 2009 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
8295089, Feb 02 2009 Samsung Electronics Co., Ltd.; SAMSUNG ELECTRONICS CO , LTD Non-volatile memory device having vertical structure and method of operating the same
8298627, Jul 15 2008 Canon Anelva Corporation Method and apparatus of plasma treatment
8298959, Jun 03 2009 Applied Materials, Inc. Method and apparatus for etching
8309440, Jul 26 2007 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
8312839, Mar 24 2009 Applied Materials, Inc Mixing frequency at multiple feeding points
8313610, Sep 25 2007 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
8328939, May 12 2004 Applied Materials, Inc. Diffuser plate with slit valve compensation
8329262, Jan 05 2010 Applied Materials, Inc. Dielectric film formation using inert gas excitation
8336188, Jul 17 2008 FormFactor, Inc Thin wafer chuck
8343306, Mar 12 2007 Tokyo Electron Limited Plasma processing apparatus and method of plasma distribution correction
8357435, May 09 2008 Applied Materials, Inc Flowable dielectric equipment and processes
8361892, Apr 14 2010 Applied Materials, Inc Multiple precursor showerhead with by-pass ports
8368308, Mar 05 2009 Applied Materials, Inc Inductively coupled plasma reactor having RF phase control and methods of use thereof
8390980, Aug 12 2008 Applied Materials, Inc Electrostatic chuck assembly
8427067, Oct 04 2005 TOPANGA USA, INC External resonator electrode-less plasma lamp and method of exciting with radio-frequency energy
8435902, Mar 17 2010 Applied Materials, Inc. Invertable pattern loading with dry etch
8440523, Dec 07 2011 GLOBALFOUNDRIES U S INC Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
8466073, Jun 03 2011 Applied Materials, Inc Capping layer for reduced outgassing
8475674, Apr 30 2010 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
8480850, Apr 19 2002 Nordson Corporation Plasma treatment system
8491805, Feb 05 2010 Tokyo Electron Limited Semiconductor device manufacturing method and plasma etching apparatus
8501629, Dec 23 2009 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
8506713, Sep 12 2007 Tokyo Electron Limited Film deposition apparatus and film deposition method
8512509, Dec 19 2007 Applied Materials, Inc Plasma reactor gas distribution plate with radially distributed path splitting manifold
8528889, Mar 31 2009 Tokyo Electron Limited Device and method for supporting a substrate
8540844, Dec 19 2008 Lam Research Corporation Plasma confinement structures in plasma processing systems
8551891, Oct 04 2011 Applied Materials, Inc Remote plasma burn-in
8573152, Sep 03 2010 Lam Research Corporation Showerhead electrode
8622021, Oct 31 2007 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
8623148, Sep 10 2009 MATHESON TRI-GAS, INC NF3 chamber clean additive
8623471, Apr 19 2002 Nordson Corporation Plasma treatment system
8633423, Oct 14 2010 Applied Materials, Inc Methods and apparatus for controlling substrate temperature in a process chamber
8642481, Aug 18 2011 Applied Materials, Inc Dry-etch for silicon-and-nitrogen-containing films
8652298, Nov 21 2011 Lam Research Corporation Triode reactor design with multiple radiofrequency powers
8668836, Aug 21 2007 Panasonic Corporation Plasma processing device and method of monitoring plasma discharge state in plasma processing device
8679354, Aug 02 2006 MEMSSTAR LIMITED Method of etching a sacrificial silicon oxide layer
8679982, Aug 26 2011 Applied Materials, Inc Selective suppression of dry-etch rate of materials containing both silicon and oxygen
8679983, Sep 01 2011 Applied Materials, Inc Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
8691023, Jun 28 2007 Quantum Global Technologies, LLP Methods and apparatus for cleaning deposition chamber parts using selective spray etch
8702902, Aug 20 2008 VISION DYNAMICS HOLDING B V Device for generating a plasma discharge for patterning the surface of a substrate
8741778, Dec 14 2010 Applied Materials, Inc Uniform dry etch in two stages
8747610, Mar 30 2012 Tokyo Electron Limited Plasma source pumping and gas injection baffle
8747680, Aug 14 2012 Everspin Technologies, Inc Method of manufacturing a magnetoresistive-based device
8748322, Apr 16 2013 Applied Materials, Inc Silicon oxide recess etch
8765574, Nov 09 2012 Applied Materials, Inc Dry etch process
8771536, Aug 01 2011 Applied Materials, Inc Dry-etch for silicon-and-carbon-containing films
8771539, Feb 22 2011 Applied Materials, Inc Remotely-excited fluorine and water vapor etch
8772888, Aug 10 2012 Avalanche Technology Inc. MTJ MRAM with stud patterning
8778079, Oct 11 2007 VALENCE PROCESS EQUIPMENT, INC Chemical vapor deposition reactor
8801952, Mar 07 2013 Applied Materials, Inc Conformal oxide dry etch
8802572, Jul 10 2012 Applied Materials, Inc Method of patterning a low-k dielectric film
8808563, Oct 07 2011 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
8815720, Apr 12 2011 Varian Semiconductor Equipment Associates, Inc Method of etching a workpiece
8835316, Jul 06 2011 Institute of Microelectronics, Chinese Academy of Sciences Transistor with primary and semiconductor spacer, method for manufacturing transistor, and semiconductor chip comprising the transistor
8846163, Feb 26 2004 Applied Materials, Inc. Method for removing oxides
8869742, Aug 04 2010 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
8871651, Jul 12 2013 GLOBALFOUNDRIES U S INC Mask formation processing
8888087, Mar 27 2013 Applied Materials, Inc Susceptor support portion and epitaxial growth apparatus including susceptor support portion
8894767, Jul 15 2009 Applied Materials, Inc Flow control features of CVD chambers
8895449, May 16 2013 Applied Materials, Inc Delicate dry clean
8900364, Nov 29 2011 Intermolecular, Inc.; Intermolecular, Inc High productivity vapor processing system
8921234, Dec 21 2012 Applied Materials, Inc Selective titanium nitride etching
8927390, Sep 26 2011 Applied Materials, Inc Intrench profile
8932947, Jul 23 2013 Applied Materials, Inc Methods for forming a round bottom silicon trench recess for semiconductor applications
8937017, Jan 31 2009 Applied Materials, Inc Method and apparatus for etching
8945414, Nov 13 2013 Intermolecular, Inc.; Intermolecular, Inc Oxide removal by remote plasma treatment with fluorine and oxygen radicals
8946665, Jul 11 2012 SAMSUNG ELECTRONICS CO , LTD Semiconductor devices and methods of fabricating the same
8946828, Feb 09 2010 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device having elevated structure and method of manufacturing the same
8951429, Oct 29 2013 Applied Materials, Inc Tungsten oxide processing
8956980, Sep 16 2013 Applied Materials, Inc Selective etch of silicon nitride
8969212, Nov 20 2012 Applied Materials, Inc Dry-etch selectivity
8970114, Feb 01 2013 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
8980005, Mar 22 2011 Applied Materials, Inc Liner assembly for chemical vapor deposition chamber
8980758, Sep 17 2013 Applied Materials, Inc Methods for etching an etching stop layer utilizing a cyclical etching process
8980763, Nov 30 2012 Applied Materials, Inc Dry-etch for selective tungsten removal
8992733, Feb 15 2010 Daikin Industries, Ltd Water and oil resistant agent for paper and paper treatment process
8999656, Oct 26 2009 Atlas Antibodies AB PODXL protein in colorectal cancer
8999839, Feb 18 2010 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
8999856, Mar 14 2011 Applied Materials, Inc Methods for etch of sin films
9012302, Sep 26 2011 Applied Materials, Inc. Intrench profile
9017481, Oct 28 2011 ASM IP HOLDING B V Process feed management for semiconductor substrate processing
9023732, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9023734, Sep 18 2012 Applied Materials, Inc Radical-component oxide etch
9034770, Sep 17 2012 Applied Materials, Inc Differential silicon oxide etch
9039911, Aug 27 2012 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
9040422, Mar 05 2013 Applied Materials, Inc Selective titanium nitride removal
9064815, Mar 14 2011 Applied Materials, Inc Methods for etch of metal and metal-oxide films
9064816, Nov 30 2012 Applied Materials, Inc Dry-etch for selective oxidation removal
9068265, Feb 01 2011 Applied Materials, Inc Gas distribution plate with discrete protective elements
9072158, Jan 18 2010 Tokyo Electron Limited Electromagnetic-radiation power-supply mechanism for exciting a coaxial waveguide by using first and second poles and a ring-shaped reflection portion
9093371, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9093390, Mar 07 2013 Applied Materials, Inc. Conformal oxide dry etch
9111877, Dec 18 2012 Applied Materials, Inc Non-local plasma oxide etch
9111907, Jan 02 2014 GLOBALFOUNDRIES U S INC Silicide protection during contact metallization and resulting semiconductor structures
9114438, May 21 2013 Applied Materials, Inc Copper residue chamber clean
9117855, Dec 04 2013 Applied Materials, Inc Polarity control for remote plasma
9132436, Sep 21 2012 Applied Materials, Inc Chemical control features in wafer process equipment
9136273, Mar 21 2014 Applied Materials, Inc Flash gate air gap
9144147, Jan 18 2011 Applied Materials, Inc Semiconductor processing system and methods using capacitively coupled plasma
9153442, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9159606, Jul 31 2014 Applied Materials, Inc Metal air gap
9165783, Nov 01 2012 Applied Materials, Inc Method of patterning a low-k dielectric film
9165786, Aug 05 2014 Applied Materials, Inc Integrated oxide and nitride recess for better channel contact in 3D architectures
9184055, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9190290, Mar 31 2014 Applied Materials, Inc Halogen-free gas-phase silicon etch
9190293, Dec 18 2013 Applied Materials, Inc Even tungsten etch for high aspect ratio trenches
9190302, Dec 20 2002 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
9202708, Oct 24 2014 Applied Materials, Inc Doped silicon oxide etch
9209012, Sep 16 2013 Applied Materials, Inc. Selective etch of silicon nitride
9236265, Nov 04 2013 Applied Materials, Inc Silicon germanium processing
9236266, Aug 01 2011 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
9240315, Oct 10 2014 Applied Materials, Inc CVD oxide surface pre-conditioning by inductively coupled O2 plasma
9245762, Dec 02 2013 Applied Materials, Inc Procedure for etch rate consistency
9263278, Dec 17 2013 Applied Materials, Inc Dopant etch selectivity control
9269590, Apr 07 2014 Applied Materials, Inc Spacer formation
9275834, Feb 20 2015 Applied Materials, Inc Selective titanium nitride etch
9281384, Jun 05 2007 Infineon Technologies LLC Ultraviolet blocking structure and method for semiconductor device
9287095, Dec 17 2013 Applied Materials, Inc Semiconductor system assemblies and methods of operation
9287134, Jan 17 2014 Applied Materials, Inc Titanium oxide etch
9293568, Jan 27 2014 Applied Materials, Inc Method of fin patterning
9299537, Mar 20 2014 Applied Materials, Inc Radial waveguide systems and methods for post-match control of microwaves
9299538, Mar 20 2014 Applied Materials, Inc Radial waveguide systems and methods for post-match control of microwaves
9299575, Mar 17 2014 Applied Materials, Inc Gas-phase tungsten etch
9299582, Nov 12 2013 Applied Materials, Inc Selective etch for metal-containing materials
9299583, Dec 05 2014 Applied Materials, Inc Aluminum oxide selective etch
9324576, May 27 2010 Applied Materials, Inc. Selective etch for silicon films
9343272, Jan 08 2015 Applied Materials, Inc Self-aligned process
9343327, Mar 14 2011 Applied Materials, Inc. Methods for etch of sin films
9343358, Feb 23 2015 SanDisk Technologies LLC Three-dimensional memory device with stress compensation layer within a word line stack
9349605, Aug 07 2015 Applied Materials, Inc Oxide etch selectivity systems and methods
9355856, Sep 12 2014 Applied Materials, Inc V trench dry etch
9355862, Sep 24 2014 Applied Materials, Inc Fluorine-based hardmask removal
9355922, Oct 14 2014 Applied Materials, Inc Systems and methods for internal surface conditioning in plasma processing equipment
9362163, Jul 30 2013 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
9368364, Sep 24 2014 Applied Materials, Inc Silicon etch process with tunable selectivity to SiO2 and other materials
9373522, Jan 22 2015 Applied Materials, Inc Titanium nitride removal
9378969, Jun 19 2014 Applied Materials, Inc Low temperature gas-phase carbon removal
9384997, Nov 20 2012 Applied Materials, Inc. Dry-etch selectivity
9396961, Dec 22 2014 Lam Research Corporation Integrated etch/clean for dielectric etch applications
9412752, Sep 22 2015 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
9418858, Oct 07 2011 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
9425041, Jan 06 2015 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
9425058, Jul 24 2014 Applied Materials, Inc Simplified litho-etch-litho-etch process
9431268, Jan 05 2015 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
9431414, Nov 27 2013 Samsung Electronics Co., Ltd. Vertical memory devices and methods of manufacturing the same
9437451, Sep 18 2012 Applied Materials, Inc. Radical-component oxide etch
9443749, Jan 20 2011 Tokyo Electron Limited Vacuum processing apparatus
9449845, Dec 21 2012 Applied Materials, Inc. Selective titanium nitride etching
9449846, Jan 28 2015 Applied Materials, Inc Vertical gate separation
9449850, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9460959, Oct 02 2015 Applied Materials, Inc Methods for pre-cleaning conductive interconnect structures
9466469, Mar 13 2015 Applied Materials, Inc. Remote plasma source for controlling plasma skew
9472412, Dec 02 2013 Applied Materials, Inc Procedure for etch rate consistency
9472417, Nov 12 2013 Applied Materials, Inc Plasma-free metal etch
9478432, Sep 25 2014 Applied Materials, Inc Silicon oxide selective removal
9478434, Sep 24 2014 Applied Materials, Inc Chlorine-based hardmask removal
9493879, Jul 12 2013 Applied Materials, Inc Selective sputtering for pattern transfer
9496167, Jul 31 2014 Applied Materials, Inc Integrated bit-line airgap formation and gate stack post clean
9502258, Dec 23 2014 Applied Materials, Inc Anisotropic gap etch
9508529, Oct 23 2014 Lam Research Corporation System, method and apparatus for RF power compensation in a plasma processing system
9520303, Nov 12 2013 Applied Materials, Inc Aluminum selective etch
9543163, Aug 20 2013 Applied Materials, Inc Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
9564296, Mar 20 2014 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
9564338, Sep 08 2015 Applied Materials, Inc Silicon-selective removal
9576788, Apr 24 2015 Applied Materials, Inc Cleaning high aspect ratio vias
9576809, Nov 04 2013 Applied Materials, Inc Etch suppression with germanium
9607856, Mar 05 2013 Applied Materials, Inc. Selective titanium nitride removal
9613822, Sep 25 2014 Applied Materials, Inc Oxide etch selectivity enhancement
9659753, Aug 07 2014 Applied Materials, Inc Grooved insulator to reduce leakage current
9659791, Jul 16 2015 Applied Materials, Inc Metal removal with reduced surface roughness
9659792, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9666449, Jun 17 2014 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Conductors having a variable concentration of germanium for governing removal rates of the conductor during control gate formation
9691645, Aug 06 2015 Applied Materials, Inc Bolted wafer chuck thermal management systems and methods for wafer processing systems
9704723, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9711366, Nov 12 2013 Applied Materials, Inc. Selective etch for metal-containing materials
9721789, Oct 04 2016 Applied Materials, Inc Saving ion-damaged spacers
9728437, Feb 03 2015 Applied Materials, Inc High temperature chuck for plasma processing systems
9741593, Aug 06 2015 Applied Materials, Inc Thermal management systems and methods for wafer processing systems
9754800, May 27 2010 Applied Materials, Inc. Selective etch for silicon films
9768034, Nov 11 2016 Applied Materials, Inc Removal methods for high aspect ratio structures
9773648, Aug 30 2013 Applied Materials, Inc Dual discharge modes operation for remote plasma
9773695, Jul 31 2014 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
9779956, Feb 06 2017 Lam Research Corporation Hydrogen activated atomic layer etching
9812462, Jun 07 2016 SanDisk Technologies LLC Memory hole size variation in a 3D stacked memory
9831097, Dec 18 2015 Applied Materials, Inc Methods for selective etching of a silicon material using HF gas without nitrogen etchants
9837249, Mar 20 2014 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
9837284, Sep 25 2014 Applied Materials, Inc. Oxide etch selectivity enhancement
9837286, Sep 04 2015 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
9842744, Mar 14 2011 Applied Materials, Inc. Methods for etch of SiN films
9865484, Jun 29 2016 Applied Materials, Inc Selective etch using material modification and RF pulsing
9881805, Mar 02 2015 Applied Materials, Inc Silicon selective removal
9885117, Mar 31 2014 Applied Materials, Inc Conditioned semiconductor system parts
9887096, Sep 17 2012 Applied Materials, Inc. Differential silicon oxide etch
9903020, Mar 31 2014 Applied Materials, Inc Generation of compact alumina passivation layers on aluminum plasma equipment components
9941097, Oct 27 2009 Tokyo Electron Limited Plasma processing apparatus
9960045, Feb 02 2017 Applied Materials, Inc Charge-trap layer separation and word-line isolation for enhanced 3-D NAND structure
9966240, Oct 14 2014 Applied Materials, Inc Systems and methods for internal surface conditioning assessment in plasma processing equipment
9978564, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
9991134, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
20010003014,
20010006093,
20010008803,
20010015175,
20010015261,
20010023741,
20010028093,
20010028922,
20010029891,
20010030366,
20010034106,
20010034121,
20010035124,
20010036706,
20010037856,
20010037941,
20010039921,
20010041444,
20010042512,
20010047760,
20010053585,
20010053610,
20010054381,
20010054387,
20010055842,
20020000202,
20020001778,
20020009560,
20020009885,
20020011210,
20020011214,
20020016080,
20020016085,
20020023899,
20020028582,
20020028585,
20020029747,
20020033233,
20020036143,
20020040764,
20020040766,
20020042192,
20020043690,
20020045966,
20020046991,
20020054962,
20020062954,
20020069820,
20020070414,
20020073925,
20020074573,
20020075624,
20020086501,
20020090781,
20020090835,
20020094378,
20020094591,
20020096493,
20020098681,
20020106845,
20020112819,
20020124867,
20020129769,
20020129902,
20020144657,
20020153808,
20020164885,
20020170678,
20020177322,
20020179248,
20020182878,
20020187280,
20020187655,
20020197823,
20030000647,
20030003757,
20030007910,
20030010645,
20030015515,
20030019428,
20030019580,
20030026060,
20030029566,
20030029567,
20030029715,
20030031905,
20030032284,
20030038127,
20030038305,
20030054608,
20030066482,
20030071035,
20030072639,
20030075808,
20030077857,
20030077909,
20030079686,
20030087488,
20030087531,
20030091938,
20030094134,
20030098125,
20030109143,
20030116087,
20030116439,
20030121608,
20030121609,
20030124465,
20030124842,
20030127049,
20030127740,
20030129106,
20030129827,
20030129850,
20030132319,
20030136520,
20030140844,
20030141018,
20030143328,
20030148035,
20030150530,
20030152691,
20030159307,
20030164226,
20030168439,
20030170945,
20030173333,
20030173347,
20030173675,
20030181040,
20030183244,
20030190426,
20030196760,
20030199170,
20030200929,
20030205329,
20030205479,
20030209323,
20030215570,
20030215963,
20030216044,
20030221780,
20030224217,
20030224617,
20030230385,
20040002221,
20040003828,
20040005726,
20040018304,
20040020801,
20040026371,
20040033678,
20040033684,
20040050328,
20040058070,
20040058293,
20040060514,
20040061447,
20040069225,
20040070346,
20040072446,
20040076529,
20040083967,
20040087139,
20040092063,
20040099285,
20040099378,
20040101667,
20040103844,
20040107908,
20040108067,
20040108068,
20040115876,
20040115947,
20040124280,
20040129671,
20040137161,
20040140053,
20040144311,
20040144490,
20040147126,
20040149223,
20040149387,
20040149394,
20040152342,
20040154535,
20040157444,
20040161921,
20040163601,
20040175913,
20040175929,
20040182315,
20040187787,
20040192032,
20040194799,
20040195208,
20040195216,
20040200499,
20040211357,
20040219737,
20040219789,
20040221809,
20040231706,
20040237897,
20040238123,
20040259367,
20040263827,
20050000430,
20050000432,
20050001276,
20050003676,
20050009340,
20050009358,
20050026430,
20050026431,
20050035455,
20050039679,
20050051094,
20050054167,
20050056218,
20050073051,
20050079706,
20050085031,
20050087517,
20050090078,
20050090120,
20050098111,
20050101130,
20050103267,
20050105991,
20050109279,
20050112876,
20050112901,
20050123690,
20050133849,
20050136188,
20050145341,
20050164479,
20050167394,
20050176258,
20050178746,
20050181588,
20050183666,
20050194094,
20050196967,
20050199489,
20050205110,
20050205862,
20050208215,
20050208217,
20050214477,
20050218507,
20050219786,
20050221552,
20050224181,
20050229848,
20050230350,
20050236694,
20050238807,
20050239282,
20050241763,
20050251990,
20050266622,
20050266650,
20050266691,
20050269030,
20050274324,
20050279454,
20050283321,
20050287688,
20050287771,
20060000802,
20060000805,
20060005856,
20060005930,
20060006057,
20060008676,
20060011298,
20060011299,
20060016783,
20060019456,
20060019477,
20060019486,
20060021574,
20060021701,
20060021703,
20060024954,
20060024956,
20060033678,
20060040055,
20060043066,
20060046412,
20060046419,
20060046470,
20060051966,
20060051968,
20060054184,
20060054280,
20060060942,
20060065629,
20060073349,
20060076108,
20060087644,
20060090700,
20060093756,
20060097397,
20060102076,
20060102587,
20060113038,
20060118178,
20060118240,
20060121724,
20060124151,
20060124242,
20060130971,
20060151115,
20060157449,
20060162661,
20060166107,
20060166515,
20060169327,
20060169410,
20060178008,
20060183270,
20060185592,
20060191479,
20060191637,
20060207504,
20060207595,
20060207971,
20060210713,
20060210723,
20060215347,
20060216878,
20060219360,
20060222481,
20060226121,
20060228889,
20060240661,
20060244107,
20060245852,
20060246717,
20060251800,
20060251801,
20060252252,
20060252265,
20060254716,
20060260750,
20060261490,
20060264043,
20060266288,
20060286774,
20060289384,
20060292846,
20070004201,
20070022952,
20070022954,
20070025907,
20070039548,
20070048977,
20070051471,
20070056925,
20070062453,
20070066084,
20070071888,
20070072408,
20070077737,
20070079758,
20070090325,
20070099428,
20070099431,
20070099438,
20070107750,
20070108404,
20070111519,
20070117396,
20070119370,
20070119371,
20070123051,
20070128864,
20070131274,
20070145023,
20070154838,
20070163440,
20070169703,
20070175861,
20070181057,
20070193515,
20070197028,
20070207275,
20070212288,
20070221620,
20070227554,
20070231109,
20070235134,
20070235136,
20070238199,
20070238321,
20070243685,
20070243714,
20070254169,
20070258186,
20070259467,
20070264820,
20070266946,
20070277734,
20070280816,
20070281106,
20070284044,
20070287292,
20070289534,
20070296967,
20070298585,
20080011424,
20080017104,
20080020570,
20080029032,
20080035608,
20080044593,
20080044990,
20080050538,
20080063810,
20080075668,
20080081483,
20080085604,
20080099147,
20080099431,
20080099876,
20080100222,
20080102570,
20080102640,
20080102646,
20080104782,
20080105555,
20080115726,
20080121970,
20080124937,
20080141941,
20080142831,
20080153306,
20080156631,
20080156771,
20080157225,
20080160210,
20080169588,
20080171407,
20080173906,
20080176412,
20080178797,
20080178805,
20080182381,
20080182382,
20080182383,
20080185284,
20080188090,
20080193673,
20080196666,
20080202688,
20080202892,
20080213496,
20080216901,
20080216958,
20080230519,
20080233709,
20080236751,
20080254635,
20080261404,
20080264337,
20080268645,
20080292798,
20080293248,
20080317965,
20090000743,
20090001480,
20090004849,
20090004873,
20090014127,
20090014323,
20090014324,
20090017227,
20090022633,
20090034147,
20090034148,
20090034149,
20090036292,
20090045167,
20090061640,
20090072401,
20090081878,
20090084317,
20090087960,
20090087979,
20090095221,
20090095222,
20090095621,
20090098276,
20090098706,
20090104738,
20090104782,
20090104789,
20090111280,
20090117270,
20090120368,
20090120464,
20090120582,
20090120584,
20090159213,
20090159588,
20090162647,
20090170221,
20090170331,
20090179300,
20090189246,
20090191711,
20090194233,
20090194810,
20090197418,
20090202721,
20090212804,
20090214825,
20090223928,
20090226633,
20090236314,
20090253222,
20090255902,
20090258162,
20090261276,
20090266299,
20090269934,
20090274590,
20090275146,
20090275205,
20090275206,
20090277587,
20090277874,
20090280650,
20090283217,
20090286400,
20090286405,
20090293809,
20090294898,
20090298256,
20090314309,
20090317978,
20090320756,
20100000683,
20100003406,
20100003824,
20100006032,
20100006543,
20100022030,
20100025370,
20100037821,
20100039747,
20100041207,
20100047080,
20100048022,
20100048027,
20100048028,
20100055408,
20100055917,
20100059889,
20100062603,
20100072172,
20100075503,
20100081285,
20100089533,
20100093151,
20100093168,
20100096367,
20100098882,
20100099236,
20100099263,
20100101727,
20100105209,
20100116788,
20100119843,
20100129974,
20100130001,
20100139889,
20100144140,
20100147219,
20100151149,
20100164422,
20100173499,
20100178748,
20100178755,
20100180819,
20100183825,
20100187534,
20100187588,
20100187694,
20100190352,
20100197143,
20100203739,
20100206483,
20100207195,
20100207205,
20100213172,
20100224322,
20100224324,
20100240205,
20100243165,
20100243606,
20100244204,
20100248488,
20100252068,
20100258913,
20100267224,
20100267248,
20100273290,
20100273291,
20100288369,
20100294199,
20100310785,
20100330814,
20110005607,
20110005684,
20110008950,
20110011338,
20110034035,
20110039407,
20110042799,
20110045676,
20110048325,
20110053380,
20110058303,
20110061810,
20110061812,
20110065276,
20110076401,
20110081782,
20110088847,
20110100489,
20110101335,
20110104393,
20110111596,
20110114601,
20110115378,
20110124144,
20110127156,
20110133650,
20110136347,
20110139748,
20110140229,
20110143542,
20110146909,
20110147363,
20110151674,
20110151677,
20110151678,
20110155181,
20110159690,
20110165057,
20110165347,
20110165771,
20110174778,
20110180847,
20110195575,
20110198034,
20110207332,
20110217851,
20110226734,
20110227028,
20110230008,
20110230052,
20110232737,
20110232845,
20110244686,
20110244693,
20110256421,
20110265884,
20110265887,
20110265951,
20110266252,
20110266256,
20110266682,
20110278260,
20110287633,
20110294300,
20110298061,
20110303146,
20110304078,
20110308453,
20120003782,
20120009796,
20120025289,
20120031559,
20120034786,
20120035766,
20120037596,
20120040492,
20120052683,
20120055402,
20120068242,
20120070982,
20120070996,
20120073501,
20120074126,
20120091108,
20120097330,
20120100720,
20120103518,
20120104564,
20120119225,
20120122302,
20120122319,
20120129354,
20120135576,
20120145079,
20120148369,
20120149200,
20120161405,
20120164839,
20120171852,
20120180954,
20120181599,
20120182808,
20120187844,
20120193456,
20120196447,
20120196451,
20120202408,
20120208361,
20120211462,
20120211722,
20120222616,
20120222815,
20120223048,
20120223418,
20120225557,
20120228642,
20120234945,
20120238102,
20120238103,
20120238108,
20120241411,
20120247390,
20120247670,
20120247671,
20120247677,
20120255491,
20120258600,
20120258607,
20120267346,
20120269968,
20120282779,
20120285619,
20120285621,
20120291696,
20120292664,
20120304933,
20120309204,
20120309205,
20120322015,
20130001899,
20130005103,
20130005140,
20130012030,
20130012032,
20130023062,
20130023124,
20130023125,
20130026135,
20130032574,
20130034666,
20130034968,
20130037919,
20130045605,
20130049592,
20130052804,
20130052827,
20130052833,
20130059440,
20130059448,
20130062675,
20130065398,
20130082197,
20130084654,
20130087309,
20130089988,
20130095646,
20130098868,
20130105085,
20130105086,
20130105088,
20130105303,
20130105948,
20130107415,
20130115372,
20130118686,
20130119016,
20130119457,
20130119483,
20130130507,
20130133578,
20130150303,
20130153148,
20130155568,
20130161726,
20130171810,
20130175654,
20130187220,
20130193108,
20130213935,
20130216821,
20130217243,
20130224953,
20130224960,
20130260533,
20130260564,
20130273313,
20130273327,
20130276983,
20130279066,
20130284369,
20130284370,
20130284373,
20130284374,
20130284700,
20130286530,
20130295297,
20130298942,
20130302980,
20130320550,
20130337655,
20130343829,
20140004707,
20140004708,
20140008880,
20140020708,
20140021673,
20140026813,
20140030486,
20140030533,
20140053866,
20140054269,
20140057447,
20140062285,
20140065827,
20140065842,
20140076234,
20140080308,
20140080309,
20140080310,
20140083362,
20140087488,
20140097270,
20140099794,
20140102367,
20140110061,
20140124364,
20140134842,
20140134847,
20140141621,
20140147126,
20140152312,
20140154668,
20140154889,
20140165912,
20140166617,
20140166618,
20140175530,
20140175534,
20140177123,
20140186772,
20140190410,
20140190632,
20140191388,
20140199850,
20140199851,
20140209245,
20140209596,
20140216337,
20140225504,
20140227881,
20140234466,
20140248773,
20140248780,
20140251956,
20140252134,
20140256131,
20140256145,
20140262031,
20140262038,
20140263172,
20140263173,
20140263272,
20140264507,
20140264533,
20140271097,
20140273373,
20140273406,
20140273451,
20140273462,
20140273481,
20140273487,
20140273488,
20140273489,
20140273491,
20140273492,
20140273496,
20140288528,
20140302678,
20140302680,
20140308758,
20140308816,
20140311581,
20140342532,
20140342569,
20140349477,
20140357083,
20140361684,
20140363977,
20140366953,
20150007770,
20150011096,
20150014152,
20150031211,
20150037980,
20150041430,
20150056814,
20150060265,
20150064918,
20150072508,
20150076110,
20150076586,
20150079797,
20150093891,
20150118822,
20150118858,
20150123541,
20150126035,
20150126039,
20150126040,
20150129541,
20150129545,
20150129546,
20150132953,
20150132968,
20150140827,
20150152072,
20150155177,
20150167705,
20150170879,
20150170920,
20150170924,
20150170926,
20150170935,
20150170943,
20150171008,
20150179464,
20150187625,
20150191823,
20150194435,
20150200042,
20150206764,
20150214066,
20150214067,
20150214092,
20150214337,
20150221479,
20150221541,
20150228456,
20150235809,
20150235860,
20150235863,
20150235865,
20150235867,
20150247231,
20150249018,
20150255481,
20150270105,
20150270106,
20150270140,
20150275361,
20150275375,
20150279687,
20150294980,
20150303031,
20150332930,
20150332953,
20150340225,
20150340371,
20150357201,
20150357205,
20150371861,
20150371864,
20150371865,
20150371866,
20150380419,
20150380431,
20160005572,
20160005833,
20160020071,
20160027654,
20160027673,
20160035586,
20160035614,
20160042920,
20160042968,
20160043099,
20160056167,
20160056235,
20160064212,
20160064233,
20160079072,
20160086772,
20160086807,
20160086808,
20160086815,
20160086816,
20160093505,
20160093506,
20160093737,
20160099173,
20160104606,
20160109863,
20160117425,
20160118227,
20160118268,
20160118396,
20160126118,
20160133480,
20160136660,
20160141419,
20160148805,
20160148821,
20160163513,
20160172216,
20160181112,
20160181116,
20160189933,
20160190147,
20160196969,
20160196984,
20160196985,
20160203958,
20160204009,
20160208395,
20160222522,
20160225651,
20160225652,
20160237570,
20160240353,
20160240389,
20160240402,
20160260588,
20160260616,
20160260619,
20160284556,
20160293398,
20160293438,
20160300694,
20160307772,
20160314961,
20160314985,
20160319452,
20160340781,
20160343548,
20160351377,
20160358793,
20170011922,
20170040175,
20170040180,
20170040190,
20170040191,
20170040207,
20170040214,
20170053808,
20170062184,
20170110290,
20170110335,
20170110475,
20170133202,
20170178894,
20170178899,
20170178924,
20170194128,
20170207088,
20170226637,
20170229287,
20170229289,
20170229291,
20170229293,
20170229326,
20170229328,
20170229329,
20170236691,
20170236694,
20170250193,
20170294445,
20170309509,
20170338133,
20170338134,
20170373082,
20180005850,
20180006050,
20180025900,
20180069000,
20180076031,
20180080124,
20180096821,
20180102255,
20180102256,
20180102259,
20180130818,
20180138049,
20180138055,
20180138075,
20180138085,
20180175051,
20180182633,
20180182777,
20180223437,
20180226223,
20180226230,
20180226259,
20180226278,
20180226425,
20180226426,
20180261516,
20180261686,
20180366351,
CN101236893,
CN101378850,
CN102893705,
CN1124364,
CN1847450,
EP1675160,
JP1200627,
JP2001313282,
JP2001332608,
JP2002083869,
JP2003174020,
JP2003282591,
JP2004296467,
JP2004508709,
JP2005050908,
JP2006041039,
JP2006066408,
JP2008288560,
JP2009141343,
JP2009239056,
JP2009530871,
JP2010180458,
JP2011171378,
JP2011508436,
JP2011518408,
JP2012019194,
JP201219164,
JP2012512531,
JP2013243418,
JP2016111177,
JP2114525,
JP4191137,
JP4763293,
JP5802323,
JP59126778,
JP6245119,
JP63301051,
JP7153739,
JP8107101,
JP8264510,
JP831755,
KR100441297,
KR100641762,
KR100663668,
KR100678696,
KR100712727,
KR100843236,
KR1020000064946,
KR102000008278,
KR1020010056735,
KR1020030054726,
KR1020030083663,
KR1020050007143,
KR1020050042701,
KR1020060080509,
KR1020060127173,
KR1020060128913,
KR1020080063988,
KR1020090040869,
KR1020100013980,
KR1020100093358,
KR1020110086540,
KR1020110114538,
KR1020110126675,
KR1020120022251,
KR1020120082640,
KR1020160002543,
KR20030023964,
KR20050019903,
KR20070079870,
RE37546, Aug 15 1997 Kokusai Semiconductor Equipment Corporation Reactor and method of processing a semiconductor substrate
TW200612480,
TW200709256,
TW200735196,
TW201127983,
TW201207919,
TW201213594,
TW201233842,
WO2008112673,
WO2009009611,
WO2009084194,
WO2010010706,
WO2010113946,
WO2011027515,
WO2011031556,
WO2011070945,
WO2011095846,
WO2011149638,
WO2012050321,
WO2012118987,
WO2012125656,
WO2012148568,
WO2013118260,
//
Executed onAssignorAssigneeConveyanceFrameReelDoc
Dec 09 2014Applied Materials, Inc.(assignment on the face of the patent)
Jan 05 2015LUBOMIRSKY, DMITRYApplied Materials, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0347780420 pdf
Date Maintenance Fee Events
Jul 20 2023M1551: Payment of Maintenance Fee, 4th Year, Large Entity.


Date Maintenance Schedule
Feb 25 20234 years fee payment window open
Aug 25 20236 months grace period start (w surcharge)
Feb 25 2024patent expiry (for year 4)
Feb 25 20262 years to revive unintentionally abandoned end. (for year 4)
Feb 25 20278 years fee payment window open
Aug 25 20276 months grace period start (w surcharge)
Feb 25 2028patent expiry (for year 8)
Feb 25 20302 years to revive unintentionally abandoned end. (for year 8)
Feb 25 203112 years fee payment window open
Aug 25 20316 months grace period start (w surcharge)
Feb 25 2032patent expiry (for year 12)
Feb 25 20342 years to revive unintentionally abandoned end. (for year 12)