A mos transistor and a method of fabricating the same for Ultra Large Scale Integration applications includes a composite gate structure. The composite gate structure is comprised of a main gate electrode and two assisted-gate electrodes disposed adjacent to and on opposite sides of the main gate electrode via an oxide layer. Areas underneath the two assisted-gate electrodes form ultra-shallow "pseudo" source/drain extensions. As a result, these extensions have a more shallow depth so as to enhance immunity to short channel effects.

Patent
   6312995
Priority
Mar 08 1999
Filed
Mar 08 1999
Issued
Nov 06 2001
Expiry
Mar 08 2019
Assg.orig
Entity
Large
240
2
all paid
10. A method for fabricating an n-channel mos transistor with assisted-gate electrodes for ULSI, said method comprising the steps of:
forming a thin gate dielectric layer on a top surface of a p-type semiconductor substrate;
forming a doped poly-Si layer on a surface of said gate dielectric layer;
patterning said doped poly-Si layer and said gate dielectric layer to form a main gate electrode;
forming a thin cap layer on a top surface of said main gate electrode;
forming an oxide layer on opposite sides of said main gate electrode;
forming first sidewall spacers on each side of said oxide layer;
forming n+ highly-doped source/drain regions in said semiconductor substrate on opposite sides of said main gate electrode;
removing said first sidewall spacers;
annealing said semiconductor substrate so as to activate dopants in said n+ highly-doped source/drain regions;
forming doped poly-Si1-x Gex layer over said main gate electrode and exposed surfaces of said gate dielectric layer;
patterning said doped poly-Si1-x Gex layer and said gate dielectric layer to form a pair of assisted-gate electrodes on opposite sides of said oxide layer and overlying portions of said gate dielectric layer which are located over corresponding areas of the top surface of the semiconductor substrate defining ultra-shallow "pseudo" source/drain regions;
forming second sidewall spacers adjacent said pair of assisted-gate electrodes;
removing uncovered portions of said gate dielectric layer on said top surface of said semiconductor substrate to expose said n+ highly-doped source/drain regions and said thin cap layer on the top surface of said main gate electrode; and
forming silicide contacts over said exposed n+ highly-doped source/drain regions and exposed surfaces of said main and assisted-gate electrodes.
1. A method for fabricating a mos transistor with assisted-gate electrodes for ULSI, said method comprising the steps of:
forming a thin gate dielectric layer on a top surface of a semiconductor substrate;
forming a first doped polysilicon or polysilicon-germanium layer on a surface of said gate dielectric layer;
patterning said first doped polysilicon or polysilicon-germanium layer and said gate dielectric layer to form a main gate electrode;
forming a thin cap layer on a top surface of said main gate electrode;
forming an oxide layer on opposite sides of said main-gate electrode;
forming first sidewall spacers on each side of said oxide layer;
forming highly-doped source/drain regions in said semiconductor substrate on opposite sides of said main gate electrode;
removing said first sidewall spacers;
annealing said semiconductor substrate so as to activate dopants in said highly-doped source/drain regions;
forming second doped polysilicon-germanium or polysilicon layer over said main gate electrode and exposed surfaces of said gate dielectric layer;
patterning said second doped polysilicon-germanium or polysilicon layer and said gate dielectric layer to form a pair of assisted-gate electrodes on opposite sides of said oxide layer and overlying portions of said gate dielectric layer which are located over corresponding areas of the top surface of the semiconductor substrate defining ultra-shallow "pseudo" source/drain regions;
forming second sidewall spacers adjacent said pair of assisted-gate electrodes;
removing uncovered portions of said gate dielectric layer on said top surface of said semiconductor substrate to expose said highly-doped source/drain regions and said thin cap layer on the top surface of said main gate electrode; and
forming silicide contacts over said exposed highly-doped source/drain regions and exposed surfaces of said main and assisted-gate electrodes.
2. A method for fabricating a mos transistor as claimed in claim 1, wherein said thin gate dielectric layer is formed of silicon nitride.
3. A method for fabricating a mos transistor as claimed in claim 1, wherein said semiconductor substrate is p-type silicon substrate and said source/drain regions are formed of n-type dopants.
4. A method for fabricating a mos transistor as claimed in claim 3, wherein said main gate electrode is formed of a p+ doped poly-Si material and said pair of assisted-gate electrodes are formed of a p+ doped poly-Si1-x Gex material.
5. A method for fabricating a mos transistor as claimed in claim 4, wherein the composition x of Ge is between 50-75%.
6. A method for fabricating a mos transistor as claimed in claim 1, wherein said semiconductor substrate is n-type silicon substrate and said source/drain regions are formed of p-type dopants.
7. A method for fabricating a mos transistor as claimed in claim 6, wherein said main gate electrode is formed of a p+ doped poly-Si1-x Gex material and said pair of assisted-gate electrodes are formed of a p+ doped poly-Si material.
8. A method for fabricating a mos transistor as claimed in claim 7, wherein the composition x of Ge is between 50-75%.
9. A method for fabricating a mos transistor as claimed in claim 1, wherein said silicide contacts are formed by a refractory metal consisting of Ti, Co, or Ni.

This invention relates generally to ultra-large-scale integration (ULSI) MOSFET integrated circuits. More particularly, it relates to a new and novel MOS transistor and a method of fabricating the same for ULSI systems which includes two assisted-gate electrodes to form ultra-shallow "pseudo" source/drain extensions.

As is generally known, in recent years advances made in the semiconductor process methodologies have dramatically decreased the device dimension sizes and have increased the circuit density on the IC chips. A MOSFET (metal-oxide-semiconductor field-effect transistor) device such as an N-channel MOS transistor or a P-channel MOS transistor has been used extensively for ultra-large-scale integration applications. Typically, the MOSFET devices are fabricated by patterning polysilicon gate electrodes over a thin gate oxide on a single crystal semiconductor substrate. The gate electrode is used as a diffusion or implant barrier mask to form self-aligned source/drain regions in the substrate adjacent to and on opposite sides of the gate electrode. The distance from the source region to the drain region under the gate electrode is defined as the "channel length" of the MOSFET device. Currently, the channel length dimension is less than 0.5 microns.

In order to increase the speed of the MOSFET devices, there has existed in the micro-electronics industry over the past two decades an aggressive scaling-down of the channel length dimensions. However, as the channel length reduction of the MOS transistor occurs, the source/drain extension junction depth must also be likewise aggressively reduced down in order to achieve acceptable immunity to the problem of "short-channel effects." One method of solving this short-channel effect problem is to form ultra-shallow extensions. Unfortunately, this method suffers from the drawback that it is very difficult to form such ultra-shallow extensions by using the conventional ion implantation technique. As a result, the problem of forming ultra-shallow extensions has become one of the major concerns for advanced deep-submicron MOSFET technology which limits its performance.

In view of the foregoing, there exists a need for MOS transistor and a method of fabricating the same for use in ULSI applications so as to provide ultra-shallow extensions without using the ion implantation process.

Accordingly, it is a general object of the present invention to provide a novel method for fabricating a MOS transistor for use in ultra-large-scale integration applications.

It is an object of the present invention to provide an improved MOS transistor structure and a method of fabricating the same for use in ULSI applications.

It is another object of the present invention to provide an improved MOS transistor and a method of fabricating the same for ULSI applications which includes two assisted-gate electrodes to form ultra-shallow "pseudo" source/drain extensions.

It is still another object of the present invention to provide an improved MOS transistor structure which is comprised of a composite gate structure formed of a main gate region and a pair of assisted-gate regions disposed adjacent to and on opposite sides of the main gate region via an oxide layer.

In accordance with a preferred embodiment of the present invention, there is provided a MOS transistor with assisted-gate electrodes for ultra-large-scale integration. A thin gate dielectric layer is formed on a surface of a semiconductor substrate. A composite gate structure is formed over the thin gate dielectric layer. The composite gate structure consists of a main gate region and a pair of assisted-gate regions disposed adjacent to and on opposite sides of the main gate region via an oxide layer. Source/drain regions are formed on the semiconductor substrate on opposite sides of the pair of assisted-gate regions. Sidewall spacers are formed on each side of the pair of assisted-gate regions. Silicide contacts are formed over the source/drain regions and the composite gate structure.

These and other objects and advantages of the present invention will become more fully apparent from the following detailed description when read in conjunction with the accompanying drawings with like reference numerals indicating corresponding parts throughout, wherein:

FIG. 1 is a cross-sectional view of a MOS transistor structure, constructed in accordance with the principles of the present invention;

FIGS. 2(a) through 2(e) are cross-sectional views of the fabrication steps for forming an N-channel MOS transistor structure, according to the method of the present invention; and

FIG. 3 is a cross-sectional view of a P-channel MOS transistor structure in accordance with the principles of the present invention.

The process steps and structures described below do not form a complete process flow for manufacturing MOSFET integrated circuits. The present invention can be practiced in conjunction with MOSFET integrated circuit fabrication techniques currently used in the art, and only so much of the commonly practiced process steps are included as are necessary for an understanding of the present invention. The figures representing cross-sections of portions of an integrated circuit during fabrication are not drawn to scale, but instead are drawn in order to best illustrate the salient features of the present invention.

Referring now in detail to the drawings, there is illustrated in FIG. 1 a cross-sectional view of a MOSFET (metal-oxide-semiconductor field-effect transistor) or MOS transistor structure 10 constructed in accordance with the principles of the present invention. As fabricated, the MOS transistor structure 10 is formed over a semiconductor substrate 12 or a doped well region formed within a semiconductor substrate. If an N-channel MOS (NMOS) transistor is to be built, then a p-well region or a p-type substrate would be used. On the other hand, for a P-channel MOS (PMOS) transistor an n-well region or an n-type substrate would be used. A composite gate structure 14 is formed over a channel region 16 and is separated from the semiconductor substrate 12 by a thin gate dielectric layer 18. A source region 20 and a drain region 22 are formed in the substrate 12 with the channel region 16 being sandwiched therebetween. Sidewall spacers 24a, 24b serving as insulating film are formed adjacent to each side of the composite gate structure 14. Silicide layers 26a, 26b and 26c are formed over the respective source region 20, drain region 22 and composite gate structure 14. The composite gate structure 14 is comprised of a main gate region M and a pair of assisted-gate regions M1, M2 disposed adjacent to and on each side of the main gate region M via an oxide layer 17.

The two assisted-gate regions M1, M2 have a smaller work function than that of the main gate region M for the case of the NMOS transistor or have a larger work function than that of the main gate region for the case of the PMOS transistor. Therefore, the parasitic transistors formed underneath the respective assisted gate regions M1 and M2 will always have threshold voltages of smaller absolute values than the threshold voltage of the main transistor formed under the main gate region M. As a consequence, the parasitic transistors in the assisted gate regions M1 and M2 will always be rendered conductive or in a turned ON-state before the main transistor in the main gate region M is rendered conductive or turned ON. These parasitic transistors have been purposely designed so as to be operated in a depletion mode, i.e., the channel area underneath the assisted gate regions M1, N2 are deeply inverted even when no bias voltage (zero volts) is being applied to the gate structure 14.

In the case of the NMOS transistor, the main gate region M is formed of a p+ polycrystalline silicon (polysilicon or poly-Si) material and the two assisted gate regions M1, M2 are formed of a p+ poly-Si1-x Gex material, where x is the composition of germanium. On the other hand, in the case of the PMOS transistor, the main gate region N is formed of p+ poly-Si1-x Gex material and the two assisted-gate regions M1 M2 are formed of a p+ poly-Si material. The work function of the p+ poly-Si1-x Gex material is dependent upon the composition x of the germanium material being used. It is believed by the inventors that the work function of the p+ poly-Si1-x Gex material will be reduced approximately 40 mV for each ten percent (10%) increase in the Ge composition.

In operation, when the gate bias voltage is raised to the threshold voltage of the main transistor, the silicon surface of the main transistor starts to be inverted and the majority carriers will flow into the channel region 16 between the source and drain regions 20, 22. As a result, the overall MOS transistor device 10 will then be conductive or turned ON. This is due to the fact that the silicon surface in the assisted-gate regions M1, M2 are already deeply inverted and thus will act as ultra-shallow "pseudo" source/drain extensions 20a, 22a of the respective source and drain regions 20, 22. These "pseudo" source/drain extensions have a much shallower junction depth as compared to lightly-doped source/drain (LDD) extensions formed by the conventional ion implantation technique. Thus, the MOS transistor structure 10 will have a superior immunity to "short-channel effects" and have a better overall performance. The fabrication steps of the present invention for manufacturing an NMOS transistor will now be described in detail with reference to FIGS. 2(a) through 2(e).

First, in FIG. 2(a) there is provided a p-type semiconductor (silicon) substrate or p-well region 110 on top of which is formed a gate dielectric layer 112, which is preferably a thermally grown thin silicon nitride having a thickness on the order of 20-40 Å. Then, an undoped polysilicon (poly-Si) gate layer having a thickness between 1000-2500 Å is formed over the surface of the gate dielectric layer 112. A p+ in-situ doping process of the poly-Si gate layer is performed as the gate layer is being formed. Next, the poly-Si gate layer and the gate dielectric layer 112 are patterned using conventional photolithographic techniques followed by anisotropical dry etching so as to form a main gate electrode 114, as depicted in FIG. 2(a).

Then, a thin cap layer 116 of SiON (silicon oxynitride) is formed on the top surface of the main gate electrode 114 so as to protect the same during a subsequent step of source/drain implantation. The thickness of the SiON layer 116 is on the order of 300-400 Å in thickness. An oxide layer 117 is grown by thermal oxidation in a well-known dry oxygen process at 800-1000°C The oxide layer 117 serves as a barrier layer. Next, first sidewall spacers 118a, 118b are formed adjacent to each side of the main gate electrode 114 via the oxide layer 117. The formation of the first sidewall spacers 118a, 118b is preferably achieved by depositing an undoped polysilicon layer using a low-pressure chemical-vapor deposition (LPCVD) process followed by anisotropical dry etching. The width of the first side-wall spacers 118a, 118b is approximately 400-800 Å in thickness.

After formation of the spacers 118a and 118b, n+ highly-doped source/drain regions 120a and 120b are implanted into the silicon substrate 110 at self-aligned positions with the first sidewall spacers. This is illustrated in FIG. 2(b). It should be noted that the n-type dopant used is preferably arsenic (As) or phosphorus (P) ions as indicated by arrows 122. The dosage is typically on the order of 1×1015 ions/cm2 to 5×1015 ions/cm2, and the energy level for implantation is between 10-30 KeV. Following the source/drain implants, the first sidewall spacers 118a, 118b are removed preferably by a wet chemical etching process. This is shown in FIG. 2(c). Thereafter, a furnace annealing or high temperature rapid thermal annealing (RTA) at temperatures between 1000-1100°C is performed (not shown) on the silicon substrate in order to activate the dopants in the implanted source and drain regions 120a, 120b.

Next, two assisted-gate electrodes 124a, 124b are formed adjacent to and on each side of the main gate electrode 114 via the oxide layer 117. Thus, the main gate electrode 114, the oxide layer 117 and the two assisted-gate electrodes 124a, 124b define a composite gate structure. The two assisted-gate electrodes 124a, 124b are formed by depositing an undoped gate insulating layer on the order of 2000-3000 Å over the source region 120a, main gate electrode 114 and drain region 120b. Preferably, the deposited gate insulating layer is an undoped poly-Si1-x Gex material which is deposited by an LPCVD process. Then, a p+ in-situ doping process on the gate insulating layer is performed as the assisted-gate electrodes are being formed. Thereafter, an anisotropical dry etching is performed to etch-away the gate insulating layer so as to form the two assisted-gate electrodes 124a, 124b. The width of the two assisted-gate electrodes 124a, 124b is approximately 300-600 Å in thickness.

The composition of the germanium (Ge) is preferably between 50-75% in order to yield a difference of work function (and hence the threshold voltage of the transistor) between the main gate transistor and the two assisted-gate transistors. The threshold voltage difference is approximately between 0.2 to 0.3 volts. Then, an anisotropical dry etch is performed so as to remove the uncovered portion of the nitride layer 112 on the top surface of the silicon substrate and the SiON cap layer 116 from the top surface of the main gate electrode 114. Thereafter, second sidewall spacers 126a, 126b are formed (by deposition and then etch-back) adjacent to and on both sides of the two assisted-gate electrodes 124a, 124b. The width of the second sidewall spacers 126a, 125b is approximately 300-500 Å in thickness. This is illustrated in FIG. 2(d) of the drawings.

After formation of the second sidewall spacers 126a and 126b, refractory metal silicide contacts or regions 128a, 128b and 128c are formed over the respective drain region 120a, composite gate structure and source region 120b. Typically, the refractory metal silicide contacts 128a-128c are on the order of 200 to 500 Å in thickness. The silicide contacts result from the thermal reaction of a refractory metal such as titanium (Ti), cobalt (Co), nickel (Ni) and the like with silicon so as to form the silicide layer (TiSi2, CoSi21 or NiSi2). Further, these silicide contacts are formed by a process known as self-aligned silicide (SALICIDE) process based on annealing in a nitrogen, ammonia, or an inert ambient environment. This is shown in FIG. 2(e). The subsequent remaining process flow steps of the standard MOSFET fabrication, such as passivation and metallization for forming contacts, interconnects and the like are quite conventional and thus will not be described.

It should be clearly understood that the description given above in connection with FIGS. 2(a) through 2(e) are for constructing a new NMOS transistor structure. However, the present invention can be applied equally to an n-type substrate or n-well so as to build a new PMOS transistor structure since the interchangeability of p-type and n-type semiconductor elements is well known to those of ordinary skill in the art. Further, the dopant type or polarity used in the case of the PMOS transistor would be the opposite. Also, the primary difference for the PMOS transistor structure is that the main gate electrode 114a is formed of a p+ in-situ doped polySi1-x Gex material and that the two assisted-gate electrodes 124c, 124d are formed of a p+ in-situ doped poly-Si material. Such a PMOS transistor constructed in accordance with the principles of the present invention is illustrated in FIG. 3.

From the foregoing detailed description, it can thus be seen that the present invention provides a new and novel MOS transistor structure and a method of fabricating the same for ultra-large-scale integration applications. The MOS transistor of the present invention includes a composite gate structure formed of a main gate electrode and two assisted-gate electrodes disposed adjacent to and on opposite sides of the main gate electrode via an oxide layer. The areas underlying the assisted-gate electrodes form ultra-shallow "pseudo" source/drain extensions of source and drain regions, thereby enhancing immunity to short-channel effects.

While there has been illustrated and described what is at present considered to be a preferred embodiment of the present invention, it will be understood by those skilled in the art that various changes and modifications may be made, and equivalents may be substituted for elements thereof without departing from the true scope of the invention. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the invention without departing from the central scope thereof. Therefore, it is intended that this invention not be limited to the particular embodiment disclosed as the best mode contemplated for carrying out the invention, but that the invention will include all embodiments falling within the scope of the appended claims.

Yu, Bin

Patent Priority Assignee Title
10026621, Nov 14 2016 Applied Materials, Inc SiN spacer profile patterning
10032606, Aug 02 2012 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
10043674, Aug 04 2017 Applied Materials, Inc Germanium etching systems and methods
10043684, Feb 06 2017 Applied Materials, Inc Self-limiting atomic thermal etching systems and methods
10049891, May 31 2017 Applied Materials, Inc Selective in situ cobalt residue removal
10062575, Sep 09 2016 Applied Materials, Inc Poly directional etch by oxidation
10062578, Mar 14 2011 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
10062579, Oct 07 2016 Applied Materials, Inc Selective SiN lateral recess
10062585, Oct 04 2016 Applied Materials, Inc Oxygen compatible plasma source
10062587, Jul 18 2012 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
10128086, Oct 24 2017 Applied Materials, Inc Silicon pretreatment for nitride removal
10147620, Aug 06 2015 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
10163696, Nov 11 2016 Applied Materials, Inc Selective cobalt removal for bottom up gapfill
10170282, Mar 08 2013 Applied Materials, Inc Insulated semiconductor faceplate designs
10170336, Aug 04 2017 Applied Materials, Inc Methods for anisotropic control of selective silicon removal
10186428, Nov 11 2016 Applied Materials, Inc. Removal methods for high aspect ratio structures
10224180, Oct 04 2016 Applied Materials, Inc. Chamber with flow-through source
10224210, Dec 09 2014 Applied Materials, Inc Plasma processing system with direct outlet toroidal plasma source
10242908, Nov 14 2016 Applied Materials, Inc Airgap formation with damage-free copper
10256079, Feb 08 2013 Applied Materials, Inc Semiconductor processing systems having multiple plasma configurations
10256112, Dec 08 2017 Applied Materials, Inc Selective tungsten removal
10283321, Jan 18 2011 Applied Materials, Inc Semiconductor processing system and methods using capacitively coupled plasma
10283324, Oct 24 2017 Applied Materials, Inc Oxygen treatment for nitride etching
10297458, Aug 07 2017 Applied Materials, Inc Process window widening using coated parts in plasma etch processes
10319600, Mar 12 2018 Applied Materials, Inc Thermal silicon etch
10319603, Oct 07 2016 Applied Materials, Inc. Selective SiN lateral recess
10319649, Apr 11 2017 Applied Materials, Inc Optical emission spectroscopy (OES) for remote plasma monitoring
10319739, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
10325923, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
10354843, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
10354889, Jul 17 2017 Applied Materials, Inc Non-halogen etching of silicon-containing materials
10403507, Feb 03 2017 Applied Materials, Inc Shaped etch profile with oxidation
10424463, Aug 07 2015 Applied Materials, Inc. Oxide etch selectivity systems and methods
10424464, Aug 07 2015 Applied Materials, Inc. Oxide etch selectivity systems and methods
10424485, Mar 01 2013 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
10431429, Feb 03 2017 Applied Materials, Inc Systems and methods for radial and azimuthal control of plasma uniformity
10465294, May 28 2014 Applied Materials, Inc. Oxide and metal removal
10468267, May 31 2017 Applied Materials, Inc Water-free etching methods
10468276, Aug 06 2015 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
10468285, Feb 03 2015 Applied Materials, Inc. High temperature chuck for plasma processing systems
10490406, Apr 10 2018 Applied Materials, Inc Systems and methods for material breakthrough
10490418, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
10497573, Mar 13 2018 Applied Materials, Inc Selective atomic layer etching of semiconductor materials
10497579, May 31 2017 Applied Materials, Inc Water-free etching methods
10504700, Aug 27 2015 Applied Materials, Inc Plasma etching systems and methods with secondary plasma injection
10504754, May 19 2016 Applied Materials, Inc Systems and methods for improved semiconductor etching and component protection
10522371, May 19 2016 Applied Materials, Inc Systems and methods for improved semiconductor etching and component protection
10529737, Feb 08 2017 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
10541113, Oct 04 2016 Applied Materials, Inc. Chamber with flow-through source
10541184, Jul 11 2017 Applied Materials, Inc Optical emission spectroscopic techniques for monitoring etching
10541246, Jun 26 2017 Applied Materials, Inc 3D flash memory cells which discourage cross-cell electrical tunneling
10546729, Oct 04 2016 Applied Materials, Inc Dual-channel showerhead with improved profile
10566206, Dec 27 2016 Applied Materials, Inc Systems and methods for anisotropic material breakthrough
10573496, Dec 09 2014 Applied Materials, Inc Direct outlet toroidal plasma source
10573527, Apr 06 2018 Applied Materials, Inc Gas-phase selective etching systems and methods
10593523, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
10593539, Feb 26 2004 Applied Materials, Inc. Support assembly
10593553, Aug 04 2017 Applied Materials, Inc. Germanium etching systems and methods
10593560, Mar 01 2018 Applied Materials, Inc Magnetic induction plasma source for semiconductor processes and equipment
10600639, Nov 14 2016 Applied Materials, Inc. SiN spacer profile patterning
10607867, Aug 06 2015 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
10615047, Feb 28 2018 Applied Materials, Inc Systems and methods to form airgaps
10629473, Sep 09 2016 Applied Materials, Inc Footing removal for nitride spacer
10672642, Jul 24 2018 Applied Materials, Inc Systems and methods for pedestal configuration
10679870, Feb 15 2018 Applied Materials, Inc Semiconductor processing chamber multistage mixing apparatus
10699879, Apr 17 2018 Applied Materials, Inc Two piece electrode assembly with gap for plasma control
10699921, Feb 15 2018 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
10707061, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
10727080, Jul 07 2017 Applied Materials, Inc Tantalum-containing material removal
10755941, Jul 06 2018 Applied Materials, Inc Self-limiting selective etching systems and methods
10770346, Nov 11 2016 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
10796922, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
10840149, Jul 22 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication method of a metal gate structure
10854426, Jan 08 2018 Applied Materials, Inc Metal recess for semiconductor structures
10861676, Jan 08 2018 Applied Materials, Inc Metal recess for semiconductor structures
10872778, Jul 06 2018 Applied Materials, Inc Systems and methods utilizing solid-phase etchants
10886137, Apr 30 2018 Applied Materials, Inc Selective nitride removal
10892198, Sep 14 2018 Applied Materials, Inc Systems and methods for improved performance in semiconductor processing
10903052, Feb 03 2017 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
10903054, Dec 19 2017 Applied Materials, Inc Multi-zone gas distribution systems and methods
10920319, Jan 11 2019 Applied Materials, Inc Ceramic showerheads with conductive electrodes
10920320, Jun 16 2017 Applied Materials, Inc Plasma health determination in semiconductor substrate processing reactors
10943834, Mar 13 2017 Applied Materials, Inc Replacement contact process
10964512, Feb 15 2018 Applied Materials, Inc Semiconductor processing chamber multistage mixing apparatus and methods
11004689, Mar 12 2018 Applied Materials, Inc. Thermal silicon etch
11024486, Feb 08 2013 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
11049698, Oct 04 2016 Applied Materials, Inc. Dual-channel showerhead with improved profile
11049755, Sep 14 2018 Applied Materials, Inc Semiconductor substrate supports with embedded RF shield
11062887, Sep 17 2018 Applied Materials, Inc High temperature RF heater pedestals
11101136, Aug 07 2017 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
11121002, Oct 24 2018 Applied Materials, Inc Systems and methods for etching metals and metal derivatives
11133226, Oct 22 2018 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD FUSI gated device formation
11158527, Aug 06 2015 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
11239061, Nov 26 2014 Applied Materials, Inc. Methods and systems to enhance process uniformity
11257693, Jan 09 2015 Applied Materials, Inc Methods and systems to improve pedestal temperature control
11264213, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
11276559, May 17 2017 Applied Materials, Inc Semiconductor processing chamber for multiple precursor flow
11276590, May 17 2017 Applied Materials, Inc Multi-zone semiconductor substrate supports
11328909, Dec 22 2017 Applied Materials, Inc Chamber conditioning and removal processes
11361939, May 17 2017 Applied Materials, Inc Semiconductor processing chamber for multiple precursor flow
11393726, Jul 22 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device and method of forming the same
11417534, Sep 21 2018 Applied Materials, Inc Selective material removal
11437242, Nov 27 2018 Applied Materials, Inc Selective removal of silicon-containing materials
11476093, Aug 27 2015 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
11594428, Feb 03 2015 Applied Materials, Inc. Low temperature chuck for plasma processing systems
11637002, Nov 26 2014 Applied Materials, Inc Methods and systems to enhance process uniformity
11682560, Oct 11 2018 Applied Materials, Inc Systems and methods for hafnium-containing film removal
11721527, Jan 07 2019 Applied Materials, Inc Processing chamber mixing systems
11735441, May 19 2016 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
11823959, Oct 22 2018 Taiwan Semiconductor Manufacturing Company, Ltd. FUSI gated device formation
11915950, May 17 2017 Applied Materials, Inc. Multi-zone semiconductor substrate supports
6413861, Apr 18 2001 Macronix International Co. Ltd. Method of fabricating a salicide of an embedded memory
6528399, Aug 02 1999 STMICROELECTRONICS, S A MOSFET transistor with short channel effect compensated by the gate material
6762468, Dec 26 2001 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
6841826, Jan 15 2003 GLOBALFOUNDRIES U S INC Low-GIDL MOSFET structure and method for fabrication
6878582, Jan 15 2003 GLOBALFOUNDRIES Inc Low-GIDL MOSFET structure and method for fabrication
6967143, Apr 30 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor fabrication process with asymmetrical conductive spacers
7148096, Dec 26 2001 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device having a gate electrode containing polycrystalline silicon-germanium
7192876, May 22 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Transistor with independent gate structures
7285829, Mar 31 2004 TAHOE RESEARCH, LTD Semiconductor device having a laterally modulated gate workfunction and method of fabrication
7666727, Mar 31 2004 TAHOE RESEARCH, LTD Semiconductor device having a laterally modulated gate workfunction and method of fabrication
7920109, Aug 26 2005 SAMSUNG DISPLAY CO , LTD Emission driving device of organic light emitting display device
7943988, Sep 05 2008 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Power MOSFET with a gate structure of different material
8309410, Sep 05 2008 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Power MOSFET with a gate structure of different material
8343307, Feb 26 2004 Applied Materials, Inc Showerhead assembly
8679982, Aug 26 2011 Applied Materials, Inc Selective suppression of dry-etch rate of materials containing both silicon and oxygen
8679983, Sep 01 2011 Applied Materials, Inc Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
8765574, Nov 09 2012 Applied Materials, Inc Dry etch process
8771539, Feb 22 2011 Applied Materials, Inc Remotely-excited fluorine and water vapor etch
8801952, Mar 07 2013 Applied Materials, Inc Conformal oxide dry etch
8808563, Oct 07 2011 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
8895449, May 16 2013 Applied Materials, Inc Delicate dry clean
8921234, Dec 21 2012 Applied Materials, Inc Selective titanium nitride etching
8927390, Sep 26 2011 Applied Materials, Inc Intrench profile
8951429, Oct 29 2013 Applied Materials, Inc Tungsten oxide processing
8956980, Sep 16 2013 Applied Materials, Inc Selective etch of silicon nitride
8969212, Nov 20 2012 Applied Materials, Inc Dry-etch selectivity
8975152, Nov 08 2011 Applied Materials, Inc Methods of reducing substrate dislocation during gapfill processing
8980763, Nov 30 2012 Applied Materials, Inc Dry-etch for selective tungsten removal
8999856, Mar 14 2011 Applied Materials, Inc Methods for etch of sin films
9012302, Sep 26 2011 Applied Materials, Inc. Intrench profile
9023732, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9023734, Sep 18 2012 Applied Materials, Inc Radical-component oxide etch
9034770, Sep 17 2012 Applied Materials, Inc Differential silicon oxide etch
9040422, Mar 05 2013 Applied Materials, Inc Selective titanium nitride removal
9064815, Mar 14 2011 Applied Materials, Inc Methods for etch of metal and metal-oxide films
9064816, Nov 30 2012 Applied Materials, Inc Dry-etch for selective oxidation removal
9093371, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9093390, Mar 07 2013 Applied Materials, Inc. Conformal oxide dry etch
9093421, Jun 26 2012 GLOBALFOUNDRIES Inc Implementing gate within a gate utilizing replacement metal gate process
9111877, Dec 18 2012 Applied Materials, Inc Non-local plasma oxide etch
9114438, May 21 2013 Applied Materials, Inc Copper residue chamber clean
9117855, Dec 04 2013 Applied Materials, Inc Polarity control for remote plasma
9132436, Sep 21 2012 Applied Materials, Inc Chemical control features in wafer process equipment
9136273, Mar 21 2014 Applied Materials, Inc Flash gate air gap
9153442, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9159606, Jul 31 2014 Applied Materials, Inc Metal air gap
9165786, Aug 05 2014 Applied Materials, Inc Integrated oxide and nitride recess for better channel contact in 3D architectures
9184055, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9190293, Dec 18 2013 Applied Materials, Inc Even tungsten etch for high aspect ratio trenches
9209012, Sep 16 2013 Applied Materials, Inc. Selective etch of silicon nitride
9236265, Nov 04 2013 Applied Materials, Inc Silicon germanium processing
9236266, Aug 01 2011 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
9245762, Dec 02 2013 Applied Materials, Inc Procedure for etch rate consistency
9263278, Dec 17 2013 Applied Materials, Inc Dopant etch selectivity control
9269590, Apr 07 2014 Applied Materials, Inc Spacer formation
9287095, Dec 17 2013 Applied Materials, Inc Semiconductor system assemblies and methods of operation
9287134, Jan 17 2014 Applied Materials, Inc Titanium oxide etch
9293568, Jan 27 2014 Applied Materials, Inc Method of fin patterning
9299537, Mar 20 2014 Applied Materials, Inc Radial waveguide systems and methods for post-match control of microwaves
9299538, Mar 20 2014 Applied Materials, Inc Radial waveguide systems and methods for post-match control of microwaves
9299575, Mar 17 2014 Applied Materials, Inc Gas-phase tungsten etch
9299582, Nov 12 2013 Applied Materials, Inc Selective etch for metal-containing materials
9299583, Dec 05 2014 Applied Materials, Inc Aluminum oxide selective etch
9309598, May 28 2014 Applied Materials, Inc Oxide and metal removal
9324576, May 27 2010 Applied Materials, Inc. Selective etch for silicon films
9343272, Jan 08 2015 Applied Materials, Inc Self-aligned process
9349605, Aug 07 2015 Applied Materials, Inc Oxide etch selectivity systems and methods
9355856, Sep 12 2014 Applied Materials, Inc V trench dry etch
9355862, Sep 24 2014 Applied Materials, Inc Fluorine-based hardmask removal
9355863, Dec 18 2012 Applied Materials, Inc. Non-local plasma oxide etch
9362130, Mar 01 2013 Applied Materials, Inc Enhanced etching processes using remote plasma sources
9368364, Sep 24 2014 Applied Materials, Inc Silicon etch process with tunable selectivity to SiO2 and other materials
9373517, Aug 02 2012 Applied Materials, Inc Semiconductor processing with DC assisted RF power for improved control
9373522, Jan 22 2015 Applied Materials, Inc Titanium nitride removal
9378969, Jun 19 2014 Applied Materials, Inc Low temperature gas-phase carbon removal
9378978, Jul 31 2014 Applied Materials, Inc Integrated oxide recess and floating gate fin trimming
9384997, Nov 20 2012 Applied Materials, Inc. Dry-etch selectivity
9385028, Feb 03 2014 Applied Materials, Inc Air gap process
9390937, Sep 20 2012 Applied Materials, Inc Silicon-carbon-nitride selective etch
9396989, Jan 27 2014 Applied Materials, Inc Air gaps between copper lines
9406523, Jun 19 2014 Applied Materials, Inc Highly selective doped oxide removal method
9412608, Nov 30 2012 Applied Materials, Inc. Dry-etch for selective tungsten removal
9418858, Oct 07 2011 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
9425058, Jul 24 2014 Applied Materials, Inc Simplified litho-etch-litho-etch process
9437451, Sep 18 2012 Applied Materials, Inc. Radical-component oxide etch
9449845, Dec 21 2012 Applied Materials, Inc. Selective titanium nitride etching
9449846, Jan 28 2015 Applied Materials, Inc Vertical gate separation
9449850, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9472412, Dec 02 2013 Applied Materials, Inc Procedure for etch rate consistency
9472417, Nov 12 2013 Applied Materials, Inc Plasma-free metal etch
9478432, Sep 25 2014 Applied Materials, Inc Silicon oxide selective removal
9478434, Sep 24 2014 Applied Materials, Inc Chlorine-based hardmask removal
9493879, Jul 12 2013 Applied Materials, Inc Selective sputtering for pattern transfer
9496167, Jul 31 2014 Applied Materials, Inc Integrated bit-line airgap formation and gate stack post clean
9499898, Mar 03 2014 Applied Materials, Inc. Layered thin film heater and method of fabrication
9502258, Dec 23 2014 Applied Materials, Inc Anisotropic gap etch
9508721, Jul 22 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a CMOS semiconductor device
9520303, Nov 12 2013 Applied Materials, Inc Aluminum selective etch
9553102, Aug 19 2014 Applied Materials, Inc Tungsten separation
9564296, Mar 20 2014 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
9576809, Nov 04 2013 Applied Materials, Inc Etch suppression with germanium
9607856, Mar 05 2013 Applied Materials, Inc. Selective titanium nitride removal
9613822, Sep 25 2014 Applied Materials, Inc Oxide etch selectivity enhancement
9659753, Aug 07 2014 Applied Materials, Inc Grooved insulator to reduce leakage current
9659792, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9685526, Feb 12 2014 International Business Machines Corporation Side gate assist in metal gate first process
9691645, Aug 06 2015 Applied Materials, Inc Bolted wafer chuck thermal management systems and methods for wafer processing systems
9704723, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
9711366, Nov 12 2013 Applied Materials, Inc. Selective etch for metal-containing materials
9721789, Oct 04 2016 Applied Materials, Inc Saving ion-damaged spacers
9728437, Feb 03 2015 Applied Materials, Inc High temperature chuck for plasma processing systems
9741593, Aug 06 2015 Applied Materials, Inc Thermal management systems and methods for wafer processing systems
9754800, May 27 2010 Applied Materials, Inc. Selective etch for silicon films
9768034, Nov 11 2016 Applied Materials, Inc Removal methods for high aspect ratio structures
9773648, Aug 30 2013 Applied Materials, Inc Dual discharge modes operation for remote plasma
9773695, Jul 31 2014 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
9837249, Mar 20 2014 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
9837284, Sep 25 2014 Applied Materials, Inc. Oxide etch selectivity enhancement
9842744, Mar 14 2011 Applied Materials, Inc. Methods for etch of SiN films
9847289, May 30 2014 Applied Materials, Inc Protective via cap for improved interconnect performance
9865484, Jun 29 2016 Applied Materials, Inc Selective etch using material modification and RF pulsing
9881805, Mar 02 2015 Applied Materials, Inc Silicon selective removal
9885117, Mar 31 2014 Applied Materials, Inc Conditioned semiconductor system parts
9887096, Sep 17 2012 Applied Materials, Inc. Differential silicon oxide etch
9903020, Mar 31 2014 Applied Materials, Inc Generation of compact alumina passivation layers on aluminum plasma equipment components
9934942, Oct 04 2016 Applied Materials, Inc Chamber with flow-through source
9947549, Oct 10 2016 Applied Materials, Inc Cobalt-containing material removal
9978564, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
9991134, Mar 15 2013 Applied Materials, Inc. Processing systems and methods for halide scavenging
Patent Priority Assignee Title
5358879, Apr 30 1993 Bae Systems Information and Electronic Systems Integration, Inc Method of making gate overlapped lightly doped drain for buried channel devices
5741736, May 04 1995 Motorola Inc. Process for forming a transistor with a nonuniformly doped channel
//////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Mar 02 1999YU, BINAdvanced Micro Devices, INCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0098130994 pdf
Mar 08 1999Advanced Micro Devices, Inc.(assignment on the face of the patent)
Jun 30 2009Advanced Micro Devices, INCGLOBALFOUNDRIES IncAFFIRMATION OF PATENT ASSIGNMENT0231190083 pdf
Nov 27 2018GLOBALFOUNDRIES IncWILMINGTON TRUST, NATIONAL ASSOCIATIONSECURITY AGREEMENT0494900001 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES U S INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0569870001 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0546360001 pdf
Date Maintenance Fee Events
Oct 25 2001ASPN: Payor Number Assigned.
Mar 29 2005M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Mar 26 2009M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Mar 07 2013M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Nov 06 20044 years fee payment window open
May 06 20056 months grace period start (w surcharge)
Nov 06 2005patent expiry (for year 4)
Nov 06 20072 years to revive unintentionally abandoned end. (for year 4)
Nov 06 20088 years fee payment window open
May 06 20096 months grace period start (w surcharge)
Nov 06 2009patent expiry (for year 8)
Nov 06 20112 years to revive unintentionally abandoned end. (for year 8)
Nov 06 201212 years fee payment window open
May 06 20136 months grace period start (w surcharge)
Nov 06 2013patent expiry (for year 12)
Nov 06 20152 years to revive unintentionally abandoned end. (for year 12)