A reaction chamber for chemical vapor deposition of a material layer onto a substrate using a process gas comprises a chamber body having an inner wall which defines a process space for containing a substrate, a lid to effectively close the process space, and a planar showerhead positioned inside the chamber body for dispersing a process gas into the process space. A lower insulator plate is positioned on one side of the showerhead between the showerhead and the chamber body for electrically insulating the showerhead from the chamber body, and an upper insulator plate is positioned on the other side of the showerhead between the showerhead and the chamber body and lid for electrically insulating the showerhead from the chamber body and lid. A shelf is formed in the inner wall of the chamber body, and the planar showerhead and upper and lower insulator plates are arranged in a stacked formation and are positioned on the shelf proximate the process space for introducing a process gas to a substrate in the process space.

Patent
   5997649
Priority
Apr 09 1998
Filed
Apr 09 1998
Issued
Dec 07 1999
Expiry
Apr 09 2018
Assg.orig
Entity
Large
158
26
all paid
29. A chemical vapor deposition method for applying a material layer onto a substrate using a process gas, the method comprising:
providing a reaction chamber having an inner wall which defines a process space for containing a substrate;
placing a substrate in the process space;
forming a shelf in the inner wall of the chamber proximate the process space;
positioning a planar showerhead inside the chamber for dispersing a process gas into the process space, and stacking the showerhead on the shelf so that it is supported at its peripheral edge proximate the process space for introducing a process gas to the substrate in the process space.
1. A reaction chamber for chemical vapor deposition of a material layer onto a substrate using a process gas, the reaction chamber comprising:
a chamber body having an inner wall which defines a process space for containing a substrate;
a lid configured for coupling with the chamber body to effectively close the process space;
a planar showerhead positioned inside the chamber body for dispersing a process gas into the process space;
a shelf formed in the inner wall of the chamber body, the chamber body shelf configured for receiving the showerhead and supporting the showerhead at its peripheral edge proximate the process space for introducing a process gas to a substrate in the process space;
whereby a gas delivery system is provided for chemical vapor deposition.
19. A reaction chamber for chemical vapor deposition of a material layer onto a substrate using a process gas, the reaction chamber comprising:
a chamber body having an inner wall which defines a process space for containing a substrate;
a lid configured for coupling with the chamber body to effectively close the process space;
a planar showerhead positioned inside the chamber body for dispersing a process gas into the process space;
a frist insulator plate positioned on one side of the showerhead between the showerhead and the chamber body for electrically insulating the showerhead from the chamber body;
an second insulator plate positioned on the other side of the showerhead between the showerhead and the chamber body and lid for electrically insulating the showerhead from the chamber body and lid;
a shelf formed in the inner wall of the chamber body;
the planar showerhead and second and first insulator plates being arranged in a stacked formation, the stacked formation being positioned on said shelf and supported at its peripheral edge proximate the process space for introducing a process gas to a substrate in the process space;
whereby a gas delivery system is provided for chemical vapor deposition.
2. The reaction chamber of claim 1 further comprising a first gas dispersion space proximate one side of said showerhead when the showerhead is supported by the shelf, the gas dispersion space operable for accumulating process gas delivered to the reaction chamber before it is dispersed through the showerhead.
3. The reaction chamber of claim 2 wherein the gas dispersion space is defined between said lid and the showerhead.
4. The reaction chamber of claim 2 further comprising a gas delivery passage formed in the chamber body, the gas delivery passage operably communicating with said gas dispersion space for delivering a process gas to the showerhead.
5. The reaction chamber of claim 1 further comprising a gas delivery passage formed in the chamber body, the gas delivery passage operably communicating with said showerhead for delivering a process gas to the showerhead to be dispersed into the process space.
6. The reaction chamber of claim 1 further comprising a passage formed in the chamber body for coupling a source of electrical energy to the showerhead for electrically biasing the showerhead.
7. The reaction chamber of claim 1 further comprising a first insulator plate supported by the chamber body shelf, the first insulator plate being positioned between the showerhead and the chamber body for electrically insulating the showerhead from the chamber body.
8. The reaction chamber of claim 7 wherein said first insulator plate is in the form of a ring having a central opening therein, the ring contacting said showerhead at a periphery thereof to insulate the showerhead, the central opening being aligned with gas-dispersing openings in the showerhead for introducing gas into the process space.
9. The reaction chamber of claim 7 wherein said first insulator plate includes a passage formed therethrough for coupling a source of electrical energy to the showerhead through the first insulator plate to electrically bias the showerhead.
10. The reaction chamber of claim 7 wherein said first insulator plate is formed of a thermally conducting material.
11. The reaction chamber of claim 7 wherein said first insulator plate is formed of a thermally insulating material.
12. The reaction chamber of claim 1 further comprising an second insulator plate supported by the chamber body shelf, the second insulator plate being positioned between the showerhead and the chamber body and lid for electrically insulating the showerhead from the chamber body and lid.
13. The reaction chamber of claim 12 wherein said second insulator plate is formed of a thermally conducting material.
14. The reaction chamber of claim 12 wherein said second insulator plate is formed of a thermally insulating material.
15. The reaction chamber of claim 12 wherein the second insulator plate forms a gas dispersion space proximate one side of said showerhead, the gas dispersion space operable for accumulating process gas delivered to the reaction chamber before it is dispersed through the showerhead.
16. The reaction chamber of claim 12 wherein said second insulator plate includes a peripheral flange portion depending downwardly from a central portion, the peripheral flange portion being supported by the shelf.
17. The reaction chamber of claim 12 wherein said second insulator plate includes a gas delivery passage formed therein for delivering process gas to the showerhead to be dispersed in the process space.
18. The reaction chamber of claim 1 wherein said showerhead comprises a second gas dispersion space, the second gas dispersion space being isolated from the first gas dispersion space so that different process gases may be dispersed through the showerhead without mixing prior to being dispersed.
20. The reaction chamber of claim 19 wherein said stacked formation defines a first gas dispersion space proximate one side of said showerhead, the gas dispersion space operable for accumulating process gas delivered to the reaction chamber before it is dispersed through the showerhead.
21. The reaction chamber of claim 19 wherein said first insulator plate is in the form of a ring having a central opening therein, the central opening being aligned with gas-dispersing apertures in the showerhead for introducing process gas into the process space.
22. The reaction chamber of claim 19 wherein said first insulator plate includes a passage formed therethrough for coupling a source of electrical energy to the showerhead through the first insulator plate to electrically bias the showerhead.
23. The reaction chamber of claim 19 wherein said first insulator plate is formed of a thermally conducting material.
24. The reaction chamber of claim 19 wherein said first insulator plate is formed of a thermally insulating material.
25. The reaction chamber of claim 19 wherein said second insulator plate is formed of a thermally conducting material.
26. The reaction chamber of claim 19 wherein said second insulator plate is formed of a thermally insulating material.
27. The reaction chamber of claim 19 wherein said second insulator plate includes a gas delivery passage formed therein for delivering process gas to the showerhead to be dispersed in the process space.
28. The reaction chamber of claim 19 wherein said showerhead comprises a second gas dispersion space, the second gas dispersion space being isolated from the first gas dispersion space so that different process gases may be dispersed through the showerhead without mixing prior to being dispersed.
30. The method of claim 29 reaction chamber of claim 1 further comprising stacking a first insulator plate on the chamber shelf below the showerhead such that the first insulator plate is positioned between the showerhead and the chamber and electrically insulates the showerhead from the chamber.
31. The method of claim 30 wherein said first insulator plate is in the form of a ring having a central opening therein, the method further comprising positioning the ring concentrically with the showerhead so that the central opening is aligned with gas-dispersing openings in the showerhead for introducing gas into the process space.
32. The method of claim 29 further comprising stacking an second insulator plate on the chamber shelf above the showerhead, the second insulator plate being positioned between the showerhead and the chamber for electrically insulating the showerhead from the chamber.
33. The method of claim 29 wherein said second insulator plate includes a peripheral flange portion depending downwardly from a central portion, the peripheral flange portion being supported by the shelf, the method further comprising positioning the first insulator plate inside of the second insulator plate for completely encasing the showerhead and insulating the showerhead from the chamber.

This invention relates generally to chemical vapor deposition (CVD) and plasma-enhanced chemical vapor deposition (PECVD), and more specifically to an apparatus and method for providing a simple, durable gas delivery system for delivering reactant gas constituents in CVD and PECVD reactions while maintaining proper thermal control of the gas delivery components.

In the formation of integrated circuits (IC's), it is often necessary to deposit thin material films or layers, such as films containing metal and metalloid elements, upon the surface of a substrate, such as a semiconductor wafer. One purpose of such thin films is to provide conductive and ohmic contacts in the circuits and to yield conductive or barrier layers between the various devices of an IC. For example, a desired film might be applied to the exposed surface of a contact or via hole on an insulating layer of a substrate, with the film passing through the insulating layer to provide plugs of conductive material for the purpose of making electrical connections across the insulating layer.

One well known process for depositing such films is chemical vapor deposition (CVD), in which a film is deposited on a substrate using chemical reactions between various constituent or reactant gases, referred to generally as process gases. In CVD, process gases are pumped into the process space of a reaction chamber containing a substrate. The gases react in the process space proximate the substrate, resulting in one or more reaction by-products. The reaction by-products then deposit onto the substrate to form the desired film on the exposed substrate surfaces.

Another variation of the CVD process, which is also widely utilized, is a plasma-enhanced CVD process or PECVD process in which one or more of the process gases is ionized into a gas plasma to provide energy to the reaction process. PECVD is desirable for lowering the process temperatures and thermal energy that are usually necessary for a proper reaction with standard CVD. In PECVD, electrical energy is delivered to the process gas or gases to form and sustain the plasma, and therefore, less thermal energy is needed for the reaction.

For one such PECVD process, a planar susceptor or planar support structure which supports the substrate in the process space and another planar element in the processing space, such as a process gas supply element, are electrically biased with RF energy to operate as opposing RF electrodes for energizing one or more of the reactant gases into an ionized plasma. Such a method is commonly referred to as a parallel plate PECVD method because the planar susceptor and the other biased planar elements are maintained generally parallel to one another to simulate biased electrical plates with the substrate positioned therebetween. The substrate is also maintained generally parallel to the electrically biased plates or elements.

In CVD and PECVD processes, proper delivery of the process gases to the process space and proximate the substrate is critical. The process gases are delivered to the process space and substrate through a gas delivery system which provides the proper flow and distribution of the gases desirable for the deposition process. Generally, such gas delivery systems contain gas-dispersing elements in the reaction chamber, such as gas injector rings or flat showerheads, which spread the entering process gases around the processing space to insure a uniform distribution and flow of the gases proximate the substrate. Uniform gas distribution and gas flow is desirable for a uniform and efficient deposition process, a dense plasma (for PECVD), and a uniformly deposited film on the substrate. Rings and showerheads are both very viable formats for introducing process gases. For PECVD, showerheads have proven particularly desirable due to their dual function as a biased electrode as well as a gas-dispersing element.

Conventional RF PECVD processes generally utilize a biased, planar gas showerhead opposite a parallel, biased susceptor. One such PECVD process and showerhead structure is disclosed in U.S. Pat. No. 5,567,243, which is commonly owned with the present application. Another suitable showerhead structure is disclosed in U.S. Ser. No. 08/940,779, entitled "Apparatus and Method for Preventing the Premature Mixture of Reactant Gases in CVD and PECVD Reactions." Both the issued patent and pending application are completely incorporated herein by reference in their entireties.

While such showerhead structures produce suitable PECVD films, they require a complex support and biasing assembly. The assembly is coupled to the inside of the reaction chamber proximate the substrate, and therefore, the reaction chamber must be properly configured. The existing showerhead support and biasing assemblies are complicated and expensive to design and fabricate. For example, referring to FIGS. 2, 2A, and 2B of U.S. Pat. No. 5,567,243, complicated showerhead assemblies are illustrated which require numerous precisely machined parts, numerous complex fastening and sealing steps during manufacturing, and complicated electrical couplings to an RF or other electrical source for the PECVD process. Such assemblies are not only difficult and expensive to fabricate, but they are also difficult and expensive to maintain. Still further, the complicated assemblies are difficult to clean.

An additional drawback to existing CVD gas delivery systems, and particularly those utilizing showerheads, is the lack of suitable temperature control of the showerhead during the CVD and PECVD processes. During a chemical vapor deposition process it is desirable to control the surface temperature of the various components in the process space to keep them within a desired temperature range. More specifically, it is desirable to maintain temperature control for the elements which come into contact with the process gases, such as the showerhead, to prevent undesired deposition on those elements. For example, when depositing titanium nitride (TiN), it is desirable to maintain all the surfaces which contact the precursor process gases, TiCI4 and NH3, at a temperature in the range of 125°C and 225°C Such temperature control may be possible for the inner walls and lid surfaces of the reaction chamber, because the reaction chamber includes internal and integrated heating and cooling systems. However, those chamber systems generally do not provide temperature control of the showerhead. Therefore, the temperature of the showerhead will be determined by the temperature vagaries of the process space during CVD or PECVD.

Accordingly, it is an objective of the present invention to deliver process gas in a CVD process with an assembly which is simple to fabricate, assemble and maintain.

It is another objective of the present invention to deliver process gas in the CVD process with an assembly which is inexpensive to fabricate, assemble and maintain.

It is still another objective of the invention to deliver CVD process gas while maintaining adequate temperature control of all the surfaces within the process space.

It is specifically an objective of the invention to provide temperature control of a showerhead which contacts the process gases in order to prevent deposition on the showerhead.

It is still another objective of the invention to provide temperature control of a gas-dispersing showerhead during deposition of titanium nitride (TiN), and to reduce chlorine content in the deposited TiN film on a silicon substrate.

It is still another objective to provide a CVD showerhead which is simpler to clean and maintain.

The above discussed objectives and other objectives are addressed by the present invention which provides a gas-dispersing showerhead assembly which is simple and inexpensive to both fabricate and maintain. The inventive showerhead assembly is easy to clean and may be temperature controlled utilizing the temperature control systems of the reaction chamber in which the showerhead assembly is installed, or through heat generated during the CVD process. To that end, the inventive showerhead assembly is particularly useful for depositing titanium nitride (TiN) and is effective to reduce the undesirable TiN deposition on the showerhead and also to reduce the chlorine content in the process and in the TiN film deposited on the substrate.

The inventive showerhead assembly comprises a plurality of stacked, plate-like elements which are held in place by an appropriately formed recess in the inner wall of a reaction chamber body. In one embodiment, the showerhead is formed as a plate-like element and is supported by the wall of the chamber. In another embodiment, insulator plates are stacked above and below the showerhead and the stacked plates are supported by the chamber walls. Specifically, the side wall of the reaction chamber body is machined to form a shelf which is configured for receiving the planar showerhead and the other plate-like elements which are stacked therewith, and for supporting those elements around their periphery. The reaction chamber shelf supports the stacked elements proximate the process space for introducing a process gas to a substrate in the process space. A lid is placed on the chamber body above the shelf and closes the process space for proper pressure maintenance therein for the CVD process. In that way, complicated mounting structures are eliminated while the reaction chamber body shelf maintains the elements in an orientation such that their planes are generally parallel to a substrate in the process space of the reaction chamber so that gas may be properly introduced to the process space and the showerhead may be used as an RF electrode.

In one embodiment of the invention, the showerhead is biased with RF energy and is electrically insulated from the metal reaction chamber body and lid. To provide electrical insulation between an RF biased showerhead and the metal reaction chamber, the insulator plates are positioned on the top and bottom of the showerhead between the showerhead and the reaction chamber body and lid. A lower insulator plate is positioned on the chamber body shelf and the showerhead is stacked on the top of the lower insulator plate. So that the process gas may pass through the showerhead and into the process space, the lower insulator plate is preferably in the form of an annular plate or ring having a central opening therein. The central opening coincides with the plurality of gas dispersing apertures in the showerhead. The annular ring insulates the showerhead at the periphery thereof where it would normally contact the shelf. For proper electrical biasing of the showerhead, the lower insulator plate preferably includes a passage formed therethrough for connecting an electrical energy source, such as an RF energy source, to the showerhead. In a preferred embodiment an RF line passage is formed through the chamber body, and the passage through the lower plate is aligned with the chamber body passage.

To provide electrical insulation along the top surface of the showerhead, an upper insulator plate is stacked on top of the showerhead and is positioned between the showerhead and the reaction chamber body and lid. To provide suitable insulation over the entire top surface of the showerhead, the upper insulator plate is generally coextensive with the showerhead, and does not include a central opening like the lower plate. Therefore, the upper insulator plate is generally in the form of a solid disk rather than a ring. A peripheral flange is formed around the periphery of the upper insulator plate to provide side insulation to the showerhead. The flange extends downwardly from the plane of the insulator plate and a bottom surface of the flange rests on the process chamber shelf to support the second insulator plate above the showerhead. The showerhead periphery is surrounded by the flange to electrically insulate the showerhead along its peripheral edge. The upper insulator plate creates a gas dispersion space above the showerhead where the process gas can accumulate before passing into the process space through the showerhead. A gas delivery line is formed in the upper insulator plate which couples the gas dispersion space above the showerhead to a process gas line formed in the chamber body. In that way, the process gas is pumped through the chamber body, into the gas dispersion space, and then through the showerhead and into the process space.

The stacked showerhead assembly of the invention provides a gas delivery assembly which is uncomplicated, durable, and inexpensive to fabricate and maintain. The assembly provides easy cleaning of the showerhead. Furthermore, the cooperation between the upper insulator plate and the lower insulator plate provides for proper temperature control of the susceptor in accordance with another principle of the present invention.

During CVD processes, it is generally desirable to maintain temperature control of the showerhead, and specifically to keep the showerhead within a desired temperature range. For example, to deposit titanium nitride (TiN), it is desirable to maintain all surfaces in the process space which contact the process gases at a temperature in the range of 125°C to 225°C to prevent premature and undesired deposition. Such a temperature range is lower than the average temperature at which the CVD occurs. If such low temperatures are desired for the showerhead, the insulator plates are formed of a thermally conducting material, such as aluminum nitride. The insulator plates then effectively thermally sink the showerhead to the reaction chamber wall and to the lid of the reaction chamber. A cooling system, which includes suitable cooling elements located in the body wall and/or lid of the reaction chamber, controls the temperature of the reaction chamber, and thus, controls the temperature of the showerhead. Similarly, if it is desirable to raise the temperature of the showerhead, a heating system, including heating elements in the body wall and/or lid of the chamber may be utilized. The thermally conductive insulator plates will then thermally sink the showerhead to the heated reaction chamber body and lid, and will thus heat the showerhead.

In accordance with another principle of the present invention, the showerhead might be heated by forming the upper and lower insulator plates from a material which is thermally insulating as well as electrically insulating. For example, quartz would serve such a purpose. The showerhead receives heat from the susceptor and substrate within the process chamber. Since the heat cannot be dissipated through the quartz insulator plates, the showerhead temperature will rise to a value which is roughly determined by the susceptor and substrate temperature.

In an alternative embodiment of the invention, if electrical isolation is not required, such as with a standard CVD process that does not require plasma enhancement, the showerhead is thermally coupled directly to the reaction chamber body and lid by supporting the showerhead on the shelf formed in the reaction chamber body. To that end, the upper and lower insulator plates are not utilized. The shelf is dimensioned to create a gas dispersion space proximate the upper surface of the showerhead for collecting process gas before it is dispersed through the showerhead.

It may be desirable to keep various of the process gases separated until they are dispersed into the process space. In an alternative embodiment of the invention, a dual-gas showerhead may be utilized which has sets of gas-dispersing openings or apertures which are physically isolated from other sets of openings in the showerhead. In one embodiment of such a showerhead, one process gas is delivered to the gas dispersion space above the showerhead and is dispersed through one set of showerhead openings, while another gas is delivered into an internal passage in the showerhead and is dispersed through another set of openings. The dual-gas showerhead may be utilized with or without the insulator plates.

As mentioned above, the present invention is particularly useful for depositing titanium nitride wherein it is desirable to maintain the temperature of the showerhead and any other elements which contact the process gases TiCI4 and NH3 in a temperature range between 125°C and 225°C By maintaining the showerhead at the desired temperature in accordance with the principles of the present invention, preferably little or no TiN will be deposited on the showerhead. Furthermore, the elimination of the deposition on the showerhead reduces the chlorine content in the deposited TiN film on the substrate, and also reduces corrosion caused by the chlorine generated during the process.

The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with a general description of the invention given below, serve to explain the principles of the invention.

FIG. 1 is a schematic cross-sectional view of a reaction chamber utilizing the inventive showerhead assembly.

FIG. 2 is a schematic cross-sectional view of an alternative embodiment of a reaction chamber utilizing the present invention.

FIG. 3 is a schematic cross-sectional view of another alternative embodiment of a reaction chamber utilizing the present invention.

FIG. 1 illustrates a CVD reaction chamber 10 incorporating the present invention. The reaction chamber 10 includes a chamber body 12 formed of a suitable metal, such as stainless steel. The reaction chamber body 12 defines a process space 14 therein which is formed by an inner wall 16 and a bottom surface 18. The top of the process space is defined by a showerhead assembly 20 formed in accordance with the principles of the present invention. Closing the chamber body 12 and thereby enclosing the process space 14 and the showerhead assembly 20 is a chamber lid 22 which is also preferably formed of stainless steel. To provide for proper sealing between body 12 and lid 22, a seal, such as a conventional O-ring seal is utilized. For CVD and PECVD processes to be performed in the reaction chamber 10, the internal process space will require the conventional low pressure. To that end, reaction chamber 10 will be appropriately coupled to a source of vacuum (not shown), as is conventional.

For supporting a substrate 26, such as a silicon wafer, within process space 14, the reaction chamber 10 includes a substrate support or susceptor 28 which forms a support platform for substrate 26. Susceptor 28 rests on a base 29 and supports substrate 26 oriented generally parallel to showerhead assembly 20, as illustrated in FIG. 1. For a particular CVD or PECVD process, the substrate 26, and accordingly susceptor 28, might need to be heated and thus susceptor 28 may be coupled to a suitable temperature control system 30 through base 29. Also, it might be desirable to rotate susceptor 28 and the substrate 26 for uniform deposition onto the substrate. To that end, susceptor 28 might be coupled to an external rotational control system 32 through base 29. It will be readily understood by a person of ordinary skill in the art that other susceptor control systems, such as back plane heating systems and clamping systems (not shown) might also be utilized with susceptor 28 for heating and cooling the substrate 26 or securing substrate 26 to the susceptor 28.

In addition to heating and/or cooling substrate 26 on the susceptor 28, it will generally be desirable to heat and/or cool the reaction chamber 10. To that end, both the reaction chamber body 12 and lid 22 may be coupled to an appropriate heating system 34 and/or cooling system 36. The heating system 34 is coupled to appropriate conductive heating elements 34a which are conventionally embedded in the chamber body 12 and lid 22. Similarly, the cooling system is coupled to appropriate conductive cooling elements 36a embedded in the chamber body 12 and the lid 22. Such heating and cooling systems, including the various elements, are well known in the art and are commercially available for controlling the temperature of a reaction chamber 10.

In accordance with the principles of the present invention, the showerhead assembly 20 is formed of a series of stacked, plate-like elements, or plates, which are arranged in a stacked formation and are supported on a flat shelf created by a recess formed in the inner wall 16 of the reaction chamber body 12. In the embodiment illustrated in FIG. 1, the reaction chamber lid 22 is also generally planar in shape and thus may be considered another plate in addition to the inventive stacked showerhead assembly 20. Referring to FIG. 1, a flat shelf 42 is formed in the inner wall 16 of reaction chamber body 12 proximate lid 22 by machining an appropriate recess 40 around the inner wall 16 proximate the top of the chamber body. The shelf 42 is oriented generally horizontally within the reaction chamber 10. The shelf 42 extends around the inner wall 16 and is preferably formed and configured to receive the stacked formation of the showerhead assembly 20. The shelf supports the showerhead assembly at its periphery proximate the process space 14 and proximate substrate 26 for introducing process gas to the substrate. As discussed further below, the plate elements of the assembly rest on shelf 42 and the stacked formation is supported at its peripheral edge by the shelf.

The inventive showerhead assembly 20 includes a planar or plate-like showerhead 44 which has formed therein a series of gas-dispersing openings or apertures 46 for introducing a process gas to the process space 14. Showerhead 44 is preferably circular to match the circular cross-section of the cylindrically-shaped reaction chamber body 12 and is formed of an appropriate material such as aluminum.

Showerhead assembly 20 further includes a generally flat or planar lower insulator plate 50 and an upper insulator plate 52. The lower insulator plate is supported by the shelf 42 formed by a chamber body recess 40 and is positioned between the showerhead 44 and shelf 42 of the chamber body to electrically insulate showerhead 44 from the chamber body 12. As illustrated in FIG. 1, the lower insulator plate 50 is generally flat or planar in shape and, in a preferred embodiment, takes the form of an annular plate or ring having a central opening therein defined by reference numeral 56. The showerhead 44 rests on top of plate 50 and the two elements are generally coaxial and concentric and are supported at their peripheral edges by the shelf 42. Plate 50 thereby surrounds showerhead 44 at its periphery and prevents contact between the showerhead and chamber body 12, thus electrically insulating the showerhead from the chamber body. The central opening 56 is aligned with and corresponds to the position of the apertures 46 of the showerhead and thus opens the path between process space 14 and the showerhead 44 for allowing passage of the process gas from the showerhead and into the process space. That is, the lower insulator plate 50 generally will not interfere with the passage of gas through showerhead 44 and into its process space 14.

As discussed hereinabove, it is often desirable to electrically bias showerhead 44 with an RF energy source so that the showerhead acts as an electrode during a PECVD process. To that end, the embodiment of the lower insulator plate 50 illustrated in FIG. 1 includes an aperture 51 formed therethrough for coupling an electrical line 58 to the showerhead 44 by any conventional means known in the art. Electrical line 58, in turn, is coupled to an RF energy source 60 for biasing showerhead 44 as a RF electrode utilized for PECVD. To couple line 58 between the RF energy source 60 and showerhead 44, an appropriate passage is formed in chamber body 12.

The upper insulator plate 52 serves the dual function of not only electrically isolating and insulating showerhead 44 from the chamber body 12, but also from the reaction chamber lid 22. To that end, the upper insulator plate 52 includes a planar central portion 61 and the peripheral flange portion 62 which extends around the central portion 61. The central portion 61 is generally coextensive with the chamber lid 22 to electrically isolate the showerhead 44 from the chamber lid. The peripheral flange portion 62 depends downwardly from portion 61 and extends into the recess 40 formed in chamber body 12 and further electrically isolates showerhead 44. A lower surface 65 of flange portion 62 rests on shelf 42 to support insulator plate 52 on the shelf.

As seen in FIG. 1, the peripheral flange portion 62 contacts the lower insulator plate 50 and effectively traps the showerhead therebetween in a stacked fashion. To that end, the peripheral portion 62 of the upper insulator plate 52 includes a shelf 64 which cooperates with the lower insulator plate 50 to secure the showerhead between the two insulator plates. The lower insulator plate 50 is smaller in diameter than the inner diameter of the flange portion 62 of the upper insulator plate 52. Therefore, lower insulator plate 50 nests within upper insulator plate 52 to completely encase the showerhead. The outer peripheral edge of the lower insulator plate abuts against the shelf 64 of the upper insulator plate as shown in FIG. 1. Insulator plate 52 is also configured for forming a gas dispersion space 66 above the showerhead. The height of flange portion 62 above the showerhead elevates the central portion 61 to define space 66. The gas dispersion space 66 is essentially formed between the showerhead 44 and the lid 22. The upper insulator plate 52 effectively electrically insulates showerhead 44 from the lid 22 and chamber body 12 while maintaining a sufficient dispersion space so that gas may be introduced on one side of the showerhead and then dispersed therethrough to the process space 14 and substrate 26. The lower insulator plate 50 is dimensioned in diameter to fit inside the peripheral flange portion 62 as shown in FIG. 1 to form a complete insulative casing for the showerhead. To introduce the process gas, a gas delivery passage 68 is formed in the upper insulator plate 52 and couples with a gas delivery passage 69 which may be appropriately formed in chamber body 12, as shown. The passages 68, 69 are coupled to a supply of process gases 70 for delivering a process gas to space 66 for being dispersed through showerhead 44. In that way, the gas delivery passages 68, 69 communicate with showerhead 44 to deliver process gas thereto. The type of process gas utilized will be dependent upon the particular CVD or PECVD process which is desired.

To prevent gases from escaping the reaction chamber 12, the lower insulator plate 50, upper insulator plate 52, and showerhead 44 are preferably finely and precisely machined so that when positioned in the stacked assembly 20, shown in FIG. 1, a proper seal will be created around their peripheral edges to prevent the migration of gas from process space 14 out to the seal 24. Alternatively, other seals, such as O-ring seals 72, might be utilized at the various interface surfaces between the lower insulator plate 50, the showerhead 44, and the upper insulator plate 52, as well as the interface surfaces between the upper insulator plate 52 and the lid 22 as illustrated by O-ring seal 74. As will be understood by a person of ordinary skill in the art, other suitable sealing structures might also be utilized. For example, a commonly used spring seal might be used to replace the various O-ring seals shown.

In accordance with another principle of the present invention, showerhead assembly 20 may be utilized to provide indirect temperature control of the showerhead utilizing the reaction chamber body 12 and lid 22. To that end, the lower insulator plate 50 and the upper insulator plate 52 are made of a thermally conducting yet electrically insulating material such as aluminum nitride. As discussed above, the reaction chamber body 12 and lid 22 may be coupled to a heating system 34 through elements 34a, or alternatively, to a cooling system 36 through elements 36a for selectively heating and cooling reaction chamber 10. Utilizing the showerhead assembly 20 illustrated in FIG. 1, when the lower insulator plate 50 and upper insulator plate 52 are in position with the showerhead 44 stacked therebetween, the showerhead will effectively be thermally conductively coupled to the reaction chamber body 12 and to lid 22. In that way, the showerhead can be conductively maintained at a temperature which is near the temperature of the reaction chamber 10 as maintained by a heating system 34 or a cooling system 36. The contact surface interfaces between the insulator plates 50, 52 and showerhead 54 will conduct heat to and away from the showerhead as dictated by the temperature of the chamber.

One particular benefit of maintaining a cool showerhead, in accordance with the principles of the present invention, is realized when utilizing the reaction chamber 10 to deposit titanium nitride. The process gases utilized for one conventional CVD method for titanium nitride are TiCI4 and NH3. It is desirable, when utilizing TiCI4 and NH3 to maintain all surfaces which come into contact with those process gases at a temperature in the range of 125°C and 225°C to prevent premature and undesired deposition on those surfaces. In the past, this has been possible for the reaction chamber surface by utilizing the various heating and cooling systems as discussed above. However, it has not generally been possible for the showerhead and showerhead surfaces until the present invention. By thermally coupling or sinking the showerhead 44 to the reaction chamber 10 through the insulator plates 50, 52 which are formed of a thermally conducting material, the surfaces of showerhead 44 may be maintained in the desired temperature range so that titanium nitride deposition on the showerhead is minimized.

Thermally conductively sinking the showerhead to the reaction chamber through insulator plates made of a thermally conducting material also provides benefits to the layer of titanium nitride deposited onto substrate 26 by reducing the chlorine (CI) content of the layer and reducing layer corrosion. More specifically, by eliminating the undesired titanium nitride deposition on the showerhead, the content of chlorine in the form of HCI in the process space 14 is reduced. HCI is a by-product of the reaction between TiCI4 and NH3 to form titanium nitride. HCI is corrosive to the titanium nitride and, therefore, reduction of the HCI production reduces corrosion of the deposited layer to provide for a titanium nitride layer of high quality on substrate 26. Furthermore, it is anticipated that the HCI reduction will also reduce the chlorine content of the layers and films deposited on substrate 26. Chlorine in the deposited TiN layer detrimentally affects the properties of the layer.

In accordance with another principle of the present invention, it may be desired to heat showerhead 44. As discussed above, such heating might be accomplished through thermally sinking the showerhead to reaction chamber 10 with insulator plates 50, 52 which are made of a thermally conducting material, and then coupling a heating system 34 to the reaction chamber, However, another method of heating a showerhead involves forming the lower insulator plate 50 and upper insulator plate 52 of a thermally insulating material, such as quartz. Showerhead 44 will receive heat from susceptor 28 and substrate 26 during a CVD reaction. The heat delivered to the showerhead cannot be conductively dissipated to the reaction chamber through the lower and upper insulator plates 50, 52. Therefore, the showerhead temperature will rise to a certain value, which is generally determined by the temperature of the susceptor 28 and substrate 26. It is recognized that less independent control of the showerhead temperature is provided by such a method as opposed to thermally sinking the showerhead to the reaction chamber using thermally conductive insulator plates 50, 52.

The assembly 20 is easily assembled and disassembled and is thus easily maintained. The tacked plate-like elements may be readily removed for cleaning and maintenance, and are not connected together by separate fasteners.

FIG. 2 illustrates an alternative embodiment of the present invention wherein it is not necessary to electrically insulate showerhead 44 from reaction chamber 10. That is, chamber 80 will be used for thermal CVD and RF biasing of showerhead 44 is not required. To that end, the lower insulator plate 50 and upper insulator plate 52 may be eliminated and showerhead 44 will be directly mounted in reaction chamber 80 having a body 82 and a lid 84. A recess 86, including a shelf 88, is formed in the reaction chamber body 82 such that showerhead 44 is mounted and supported on shelf 88. Shelf 88 is constructed in body 82 such that between the lid 84 and showerhead 44, a gas dispersion space 89 is formed which is coupled to a source of process gas 90 through an appropriate line 92. A portion of the line is formed in the chamber body 82 for the purposes of coupling with space 89.

In the embodiment illustrated in FIG. 2, the metal showerhead 44 is thermally coupled directly to reaction chamber 80 through its connection to shelf 88. With showerhead directly thermally sunk to the body 82 and lid 84 of the reaction chamber, the showerhead may be heated and cooled directly by heating and cooling reaction chamber 80 with an appropriate heating system 94 and elements 94a, or a cooling system 96 and elements 96a. The embodiment illustrated in FIG. 2 is easily and inexpensively manufactured and assembled and maintained in accordance with the principles of the present invention. Furthermore, temperature control of the showerhead is provided. As mentioned above, the embodiment of FIG. 2 is particularly useful for deposition of titanium nitride to reduce deposition on the showerhead 44 and also to reduce the chlorine content in the film layer and corrosion of the layer on the substrate 26. To support substrate 26, a susceptor 99, similar to the susceptor illustrated in FIG. 1 and utilizing the various components and systems thereof, might be utilized.

FIG. 3 illustrates a reaction chamber 100 utilizing another alternative embodiment of the showerhead. Showerhead 102 is designed for introducing two different process gases into a process space 104 and proximate a substrate 106 without mixing the two gases prior to the process space. Substrate 106 is supported on a susceptor 108 similar to the susceptors discussed hereinabove. Showerhead 102, while being shown in the reaction chamber 100 might also be utilized in the reaction chamber 10 illustrated in FIG. 1, as well as in reaction chamber 80 shown in FIG. 2. That is, while FIG. 3 shows showerhead 102 thermally and electrically coupled directly to reaction chamber 100, showerhead 102 might also be electrically and/or thermally insulated from the reaction chamber utilizing insulator plates as disclosed above.

Showerhead 102 includes a series of passages 110 formed therein which are coupled to a gas dispersion space 112. Process gas from a supply 114 is coupled to space 112 through a gas delivery line 116, a portion of which is formed in the chamber body 101. Of course, line 116 might also be directed through the lid 103 of chamber 100 with an appropriate portion of the line being formed through lid 103 which may also be done in the reaction chambers 10, 80, discussed above. Gas introduced from supply 114 travels through the dispersion space 112 and then is directed through the gas dispersing passages 110 into the process space 104 proximate substrate 106. Showerhead 102 is also configured to include a second gas dispersion space 120 which is coupled to a plurality of passages 122 which may be similar in shape and dimension to the passages 110. Space 120 is coupled to an appropriate gas delivery line 124, a portion of which is formed in the chamber body 101. Line 124, in turn, is coupled to a supply of process gas 126. For example, when depositing titanium nitride, the TiCI4 gas might be introduced through line 124 and the passages 122 while the other process gases, such as NH3 might be introduced through line 116 and passages 110. In that way, the two gases are prevented from mixing in the showerhead and only mix in process space 104 proximate substrate 106. As discussed in co-pending U.S. application Ser. No. 08/940,779, it is desirable to prevent the premature mixture of the constituent gases to further prevent undesired deposition on the showerhead 102 or in the gas dispersing passages 110, 122. As mentioned above, showerhead 102 might also be utilized with insulator plates for electrically and/or thermally insulating the showerhead in accordance with the principles of the present invention.

While the present invention has been illustrated by the description of the embodiments thereof, and while the embodiments have been described in considerable detail, it is not the. intention of the applicant to restrict or in any way limit the scope of the appended claims to such detail. Additional advantages and modifications will readily appear to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details representative apparatus and method, and illustrative examples shown and described. Accordingly, departures may be made from such details without departure from the spirit or scope of applicant's general inventive concept.

Hillman, Joseph T.

Patent Priority Assignee Title
10128086, Oct 24 2017 Applied Materials, Inc Silicon pretreatment for nitride removal
10163696, Nov 11 2016 Applied Materials, Inc Selective cobalt removal for bottom up gapfill
10170336, Aug 04 2017 Applied Materials, Inc Methods for anisotropic control of selective silicon removal
10186428, Nov 11 2016 Applied Materials, Inc. Removal methods for high aspect ratio structures
10224180, Oct 04 2016 Applied Materials, Inc. Chamber with flow-through source
10224210, Dec 09 2014 Applied Materials, Inc Plasma processing system with direct outlet toroidal plasma source
10242908, Nov 14 2016 Applied Materials, Inc Airgap formation with damage-free copper
10256079, Feb 08 2013 Applied Materials, Inc Semiconductor processing systems having multiple plasma configurations
10256112, Dec 08 2017 Applied Materials, Inc Selective tungsten removal
10262834, Oct 13 2009 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
10262837, May 12 2004 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
10283321, Jan 18 2011 Applied Materials, Inc Semiconductor processing system and methods using capacitively coupled plasma
10283324, Oct 24 2017 Applied Materials, Inc Oxygen treatment for nitride etching
10297458, Aug 07 2017 Applied Materials, Inc Process window widening using coated parts in plasma etch processes
10312058, May 12 2004 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
10319600, Mar 12 2018 Applied Materials, Inc Thermal silicon etch
10319603, Oct 07 2016 Applied Materials, Inc. Selective SiN lateral recess
10319649, Apr 11 2017 Applied Materials, Inc Optical emission spectroscopy (OES) for remote plasma monitoring
10319739, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
10325923, Feb 08 2017 Applied Materials, Inc Accommodating imperfectly aligned memory holes
10354843, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
10354889, Jul 17 2017 Applied Materials, Inc Non-halogen etching of silicon-containing materials
10403507, Feb 03 2017 Applied Materials, Inc Shaped etch profile with oxidation
10424463, Aug 07 2015 Applied Materials, Inc. Oxide etch selectivity systems and methods
10424464, Aug 07 2015 Applied Materials, Inc. Oxide etch selectivity systems and methods
10424485, Mar 01 2013 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
10424487, Oct 24 2017 Applied Materials, Inc Atomic layer etching processes
10431429, Feb 03 2017 Applied Materials, Inc Systems and methods for radial and azimuthal control of plasma uniformity
10465294, May 28 2014 Applied Materials, Inc. Oxide and metal removal
10468267, May 31 2017 Applied Materials, Inc Water-free etching methods
10468276, Aug 06 2015 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
10468285, Feb 03 2015 Applied Materials, Inc. High temperature chuck for plasma processing systems
10490406, Apr 10 2018 Applied Materials, Inc Systems and methods for material breakthrough
10490418, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
10497573, Mar 13 2018 Applied Materials, Inc Selective atomic layer etching of semiconductor materials
10497579, May 31 2017 Applied Materials, Inc Water-free etching methods
10504700, Aug 27 2015 Applied Materials, Inc Plasma etching systems and methods with secondary plasma injection
10504754, May 19 2016 Applied Materials, Inc Systems and methods for improved semiconductor etching and component protection
10522371, May 19 2016 Applied Materials, Inc Systems and methods for improved semiconductor etching and component protection
10529737, Feb 08 2017 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
10541113, Oct 04 2016 Applied Materials, Inc. Chamber with flow-through source
10541184, Jul 11 2017 Applied Materials, Inc Optical emission spectroscopic techniques for monitoring etching
10541246, Jun 26 2017 Applied Materials, Inc 3D flash memory cells which discourage cross-cell electrical tunneling
10546729, Oct 04 2016 Applied Materials, Inc Dual-channel showerhead with improved profile
10566206, Dec 27 2016 Applied Materials, Inc Systems and methods for anisotropic material breakthrough
10573496, Dec 09 2014 Applied Materials, Inc Direct outlet toroidal plasma source
10573527, Apr 06 2018 Applied Materials, Inc Gas-phase selective etching systems and methods
10593523, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
10593553, Aug 04 2017 Applied Materials, Inc. Germanium etching systems and methods
10593560, Mar 01 2018 Applied Materials, Inc Magnetic induction plasma source for semiconductor processes and equipment
10600639, Nov 14 2016 Applied Materials, Inc. SiN spacer profile patterning
10607867, Aug 06 2015 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
10615047, Feb 28 2018 Applied Materials, Inc Systems and methods to form airgaps
10629473, Sep 09 2016 Applied Materials, Inc Footing removal for nitride spacer
10672642, Jul 24 2018 Applied Materials, Inc Systems and methods for pedestal configuration
10679870, Feb 15 2018 Applied Materials, Inc Semiconductor processing chamber multistage mixing apparatus
10699879, Apr 17 2018 Applied Materials, Inc Two piece electrode assembly with gap for plasma control
10699921, Feb 15 2018 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
10707061, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
10727080, Jul 07 2017 Applied Materials, Inc Tantalum-containing material removal
10755941, Jul 06 2018 Applied Materials, Inc Self-limiting selective etching systems and methods
10770346, Nov 11 2016 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
10796922, Oct 14 2014 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
10854426, Jan 08 2018 Applied Materials, Inc Metal recess for semiconductor structures
10861676, Jan 08 2018 Applied Materials, Inc Metal recess for semiconductor structures
10872778, Jul 06 2018 Applied Materials, Inc Systems and methods utilizing solid-phase etchants
10886137, Apr 30 2018 Applied Materials, Inc Selective nitride removal
10892198, Sep 14 2018 Applied Materials, Inc Systems and methods for improved performance in semiconductor processing
10903052, Feb 03 2017 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
10903054, Dec 19 2017 Applied Materials, Inc Multi-zone gas distribution systems and methods
10920319, Jan 11 2019 Applied Materials, Inc Ceramic showerheads with conductive electrodes
10920320, Jun 16 2017 Applied Materials, Inc Plasma health determination in semiconductor substrate processing reactors
10943834, Mar 13 2017 Applied Materials, Inc Replacement contact process
10964512, Feb 15 2018 Applied Materials, Inc Semiconductor processing chamber multistage mixing apparatus and methods
11004689, Mar 12 2018 Applied Materials, Inc. Thermal silicon etch
11024486, Feb 08 2013 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
11049755, Sep 14 2018 Applied Materials, Inc Semiconductor substrate supports with embedded RF shield
11062887, Sep 17 2018 Applied Materials, Inc High temperature RF heater pedestals
11101136, Aug 07 2017 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
11121002, Oct 24 2018 Applied Materials, Inc Systems and methods for etching metals and metal derivatives
11158527, Aug 06 2015 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
11239061, Nov 26 2014 Applied Materials, Inc. Methods and systems to enhance process uniformity
11257693, Jan 09 2015 Applied Materials, Inc Methods and systems to improve pedestal temperature control
11264213, Sep 21 2012 Applied Materials, Inc. Chemical control features in wafer process equipment
11276559, May 17 2017 Applied Materials, Inc Semiconductor processing chamber for multiple precursor flow
11276590, May 17 2017 Applied Materials, Inc Multi-zone semiconductor substrate supports
11328909, Dec 22 2017 Applied Materials, Inc Chamber conditioning and removal processes
11361939, May 17 2017 Applied Materials, Inc Semiconductor processing chamber for multiple precursor flow
11417534, Sep 21 2018 Applied Materials, Inc Selective material removal
11437242, Nov 27 2018 Applied Materials, Inc Selective removal of silicon-containing materials
11476093, Aug 27 2015 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
11594428, Feb 03 2015 Applied Materials, Inc. Low temperature chuck for plasma processing systems
11637002, Nov 26 2014 Applied Materials, Inc Methods and systems to enhance process uniformity
11682560, Oct 11 2018 Applied Materials, Inc Systems and methods for hafnium-containing film removal
11692261, Jul 26 2019 Applied Materials, Inc Evaporator chamber for forming films on substrates
11721527, Jan 07 2019 Applied Materials, Inc Processing chamber mixing systems
11735441, May 19 2016 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
11915950, May 17 2017 Applied Materials, Inc. Multi-zone semiconductor substrate supports
6190460, Dec 16 1994 Apparatus for low pressure chemical vapor depostion
6206972, Jul 08 1999 EUGENUS, INC Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
6370796, Sep 29 2000 Sony Corporation; Sony Electronics, Inc. Heater block cooling system for wafer processing apparatus
6477980, Jan 20 2000 Applied Materials, Inc Flexibly suspended gas distribution manifold for plasma chamber
6616766, Jul 08 1999 AIXTRON, INC Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
6712929, Aug 08 2000 Lam Research Corporation Deformation reduction at the main chamber
6772827, Jan 20 2000 Applied Materials, Inc Suspended gas distribution manifold for plasma chamber
6818096, Apr 12 2001 Applied Materials, Inc Plasma reactor electrode
6823589, Jan 20 2000 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
6830007, Feb 19 2002 ASM Japan K.K. Apparatus and method for forming low dielectric constant film
6858087, Jan 31 2000 Canon Kabushiki Kaisha Vacuum-processing method using a movable cooling plate during processing
6886491, Mar 19 2001 WONIK IPS CO , LTD Plasma chemical vapor deposition apparatus
6949204, Aug 08 2000 Lam Research Corporation Deformation reduction at the main chamber
7013834, Apr 19 2002 Nordson Corporation Plasma treatment system
7017269, Jan 20 2000 Applied Materials, Inc. Suspended gas distribution plate
7217326, Apr 14 2003 SAMSUNG DISPLAY CO , LTD Chemical vapor deposition apparatus
7220943, Mar 26 2004 Aviza Technology Limited RF stand offs
7410676, Mar 19 2001 WONIK IPS CO , LTD Chemical vapor deposition method
7429410, Sep 20 2004 Applied Materials, Inc Diffuser gravity support
7641762, Sep 02 2005 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
7722925, Feb 24 2004 Applied Materials, Inc. Showerhead mounting to accommodate thermal expansion
7776178, Oct 25 2006 Applied Materials, Inc. Suspension for showerhead in process chamber
7947577, Sep 08 2006 Tokuyama Corporation Method and apparatus for producing group III nitride
7955437, Dec 12 2000 NGK Insulators, Ltd. Apparatus for fabricating a III-V nitride film
8034213, Mar 30 2006 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
8074599, May 12 2004 Applied Materials, Inc Plasma uniformity control by gas diffuser curvature
8075690, Sep 20 2004 Applied Materials, Inc. Diffuser gravity support
8083853, May 12 2004 Applied Materials, Inc Plasma uniformity control by gas diffuser hole design
8105440, Jan 22 2001 Canon Anelva Corporation Method of cleaning a CVD device
8133349, Nov 03 2010 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
8161906, Jul 07 2008 Lam Research Corporation Clamped showerhead electrode assembly
8206506, Jul 07 2008 Lam Research Corporation Showerhead electrode
8221582, Jul 07 2008 Lam Research Corporation Clamped monolithic showerhead electrode
8272346, Apr 10 2009 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
8313805, Jul 07 2008 Lam Research Corporation Clamped showerhead electrode assembly
8317968, Apr 30 2004 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
8328939, May 12 2004 Applied Materials, Inc. Diffuser plate with slit valve compensation
8402918, Apr 07 2009 Lam Research Corporation Showerhead electrode with centering feature
8414719, Jul 07 2008 Lam Research Corporation Clamped monolithic showerhead electrode
8419959, Sep 18 2009 Lam Research Corporation Clamped monolithic showerhead electrode
8454749, Dec 19 2005 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
8470127, Jan 06 2011 Lam Research Corporation Cam-locked showerhead electrode and assembly
8536071, Apr 10 2009 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
8573152, Sep 03 2010 Lam Research Corporation Showerhead electrode
8613827, Apr 19 2002 Nordson Corporation Plasma treatment system
8796153, Jul 07 2008 Lam Research Corporation Clamped monolithic showerhead electrode
8822345, Apr 30 2004 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
8846539, Apr 30 2004 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
8895889, Oct 17 2008 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
9011631, Nov 03 2010 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
9153472, Apr 04 2012 Siltronic AG Device for depositing a layer on a semiconductor wafer by means of vapour deposition
9155134, Oct 17 2008 Applied Materials, Inc.; Applied Materials, Inc Methods and apparatus for rapidly responsive heat control in plasma processing devices
9200368, May 12 2004 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
9245716, Oct 13 2009 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
9364871, Aug 23 2012 Applied Materials, Inc Method and hardware for cleaning UV chambers
9449859, Oct 09 2009 Applied Materials, Inc Multi-gas centrally cooled showerhead design
9478384, Jul 15 2010 FORSCHUNGSZENTRUM JUELICH GMBH Electrode for producing a plasma, plasma chamber having said electrode, and method for analyzing or processing a layer or the plasma in situ
9506145, Aug 23 2012 Applied Materials, Inc. Method and hardware for cleaning UV chambers
9518321, Jul 31 2014 KOKUSAI ELECTRIC CORPORATION Atomic layer deposition processing apparatus to reduce heat energy conduction
9580804, Jun 22 2007 Applied Materials, Inc. Diffuser support
Patent Priority Assignee Title
3369989,
4771730, Sep 12 1986 Kabushiki Kaisha Shibaura Seisakusho Vacuum processing apparatus wherein temperature can be controlled
4792378, Dec 15 1987 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
4798165, Oct 07 1985 ASM America, Inc Apparatus for chemical vapor deposition using an axially symmetric gas flow
4897709, Apr 15 1988 Hitachi, Ltd. Titanium nitride film in contact hole with large aspect ratio
5010842, Oct 25 1988 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film
5017403, Apr 13 1989 Massachusetts Institute of Technology; MASSACHUSETTS INSTITUTE OF TECHNOLOGY, A CORP OF MA Process for forming planarized films
5148714, Oct 24 1990 STEAG CVD SYSTEMS, LTD Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
5273588, Jun 15 1992 Tokyo Electron Limited Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
5336326, Sep 14 1990 BALZERS AKTIENGESELLSCHAFT, A CORP OF LIECHTENSTEIN Method of and apparatus for a direct voltage arc discharge enhanced reactive treatment of objects
5342471, Apr 22 1991 Tokyo Electron Limited Plasma processing apparatus including condensation preventing means
5356476, Jun 15 1992 Tokyo Electron Limited Semiconductor wafer processing method and apparatus with heat and gas flow control
5443787, Jul 13 1993 TDK Corporation Method for preparing iron system soft magnetic sintered body
5453124, Dec 30 1992 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
5593511, Jun 03 1994 Tokyo Electron Limited Method of nitridization of titanium thin films
5595606, Apr 20 1995 Tokyo Electron Limited Shower head and film forming apparatus using the same
5610106, Mar 10 1995 Tokyo Electron Limited Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
5628829, Jun 03 1994 Tokyo Electron Limited Method and apparatus for low temperature deposition of CVD and PECVD films
5665640, Jun 03 1994 Tokyo Electron Limited Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
5685914, Apr 05 1994 Applied Materials, Inc Focus ring for semiconductor wafer processing in a plasma reactor
5685937, Sep 22 1993 Batesville Services, Inc Method for constructing a casket
5716870, Jun 03 1994 Tokyo Electron Limited Method for producing titanium thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
5846332, Jul 12 1996 Applied Materials, Inc Thermally floating pedestal collar in a chemical vapor deposition chamber
JP2234419,
JP6098629,
JP63187619,
//
Executed onAssignorAssigneeConveyanceFrameReelDoc
Apr 08 1998HILLMAN, JOSEPH T Tokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0091500053 pdf
Apr 09 1998Tokyo Electron Limited(assignment on the face of the patent)
Date Maintenance Fee Events
Aug 23 2001ASPN: Payor Number Assigned.
May 07 2003M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
May 14 2007M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
May 11 2011M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Dec 07 20024 years fee payment window open
Jun 07 20036 months grace period start (w surcharge)
Dec 07 2003patent expiry (for year 4)
Dec 07 20052 years to revive unintentionally abandoned end. (for year 4)
Dec 07 20068 years fee payment window open
Jun 07 20076 months grace period start (w surcharge)
Dec 07 2007patent expiry (for year 8)
Dec 07 20092 years to revive unintentionally abandoned end. (for year 8)
Dec 07 201012 years fee payment window open
Jun 07 20116 months grace period start (w surcharge)
Dec 07 2011patent expiry (for year 12)
Dec 07 20132 years to revive unintentionally abandoned end. (for year 12)