A process for growing an ultra-thin dielectric layer for use as a MOSFET gate oxide or a tunnel oxide for EEPROM's is described. A silicon oxynitride layer, with peaks in nitrogen concentration at the wafer-oxynitride interface and at the oxynitride surface and with low nitrogen concentration in the oxynitride bulk, is formed by a series of anneals in nitric oxide and nitrous oxide gas. This process provides precise thickness control, improved interface structure, low density of electron traps, and impedes dopant impurity diffusion from/to the dielectric and substrate. The process is easily integrated into existing manufacturing processes, and adds little increased costs.

Patent
   5939763
Priority
Sep 05 1996
Filed
Sep 05 1996
Issued
Aug 17 1999
Expiry
Sep 05 2016
Assg.orig
Entity
Large
188
10
all paid
20. An integrated circuit device in a silicon wafer comprising:
a portion of said silicon wafer, said portion having a concentration of acceptor or donor atoms therein to provide n-type or p-type semiconductor characteristics;
a grown silicon oxynitride layer having an intimate interface with said portion of said silicon wafer and a removed surface, said silicon oxynitride layer having a thickness not substantially more than 60 Angstroms,
a conducting electrode, said conducting electrode being in intimate contact with said removed surface portion of said silicon oxynitride layer;
said silicon oxynitride layer having a peak in nitrogen concentration adjacent said interface and a peak in nitrogen concentration at said removed surface and having a bulk nitrogen concentration between said peaks, said silicon oxynitride having substantially lower concentration of acceptor or donor atoms than both said portion of said silicon wafer and said conducting electrode, said bulk nitrogen concentration in said silicon oxynitride layer being less than 1018 atoms/cc, said nitrogen peak concentration adjacent said interface being greater than 1018, said nitrogen peak concentration at said removed surface being greater than 1018.
1. A silicon semiconductor wafer having at least one integrated circuit device therein, said device having a thin dielectric layer on a silicon substrate, said thin dielectric layer being fabricated by a method comprising the steps of,
installing said silicon substrate having an exposed clean silicon in surface in an annealing chamber;
providing a first ambient pressure of nitric oxide gas in said annealing chamber;
annealing said substrate at a first elevated temperature for a first period of time;
removing said nitric oxide gas from said annealing chamber;
providing a second ambient pressure of oxidizing gas in said annealing chamber;
annealing said substrate at a second elevated temperature for a second period of time,
said thin dielectric layer comprising a silicon oxynitride (SiOx Ny) dielectric layer on said entire exposed clean silicon surface, said SiOx Ny dielectric layer having an interface with said silicon substrate, and further having a surface opposite said interface, said SiOx Ny dielectric layer containing a first peak in nitrogen concentration proximal said interface, said first peak in nitrogen concentration extending across the entire said SiOx Ny dielectric layer, said SiOx Ny dielectric layer further having a second peak in nitrogen concentration at said surface, said second peak in nitrogen concentration extending across the entire said SiOx Ny dielectric layer.
2. An integrated circuit as in claim 1, wherein said fabrication method further comprises the step of,
adjusting said first ambient nitric oxide pressure, said second ambient oxidizing gas pressure, and said first and second annealing temperatures and times so as to provide said first nitrogen concentration peak with at least 1018 nitrogen atoms/cc, and to provide said second nitrogen concentration peak with at least 1018 nitrogen atoms/cc.
3. An integrated circuit as in claim 2, wherein said first and second nitrogen concentration peaks are provided with at least 1020 nitrogen atoms/cc.
4. An integrated circuit as in claim 3, wherein said silicon oxynitride dielectric layer has a concentration of hydrogen atoms therein less than 1018 atoms/cc.
5. An integrated circuit as in claim 1, wherein said oxidizing gas is selected from the group consisting of nitrous oxide, oxygen, steam, and mixtures of nitrous oxide, oxygen, and steam.
6. An integrated circuit as in claim 5, wherein said oxidizing gas is nitrous oxide.
7. An integrated circuit as in claim 5, wherein said fabrication method further comprises the steps of,
following said second annealing step, removing said oxidizing gas from said annealing chamber;
providing a third ambient pressure of nitric oxide gas in said annealing chamber;
annealing said substrate at a third elevated temperature for a third period of time.
8. An integrated circuit as in claim 7, wherein said oxidizing gas is selected from the group consisting of nitrous oxide, oxygen, steam, and mixtures of nitrous oxide, oxygen, and steam.
9. An integrated circuit as in claim 8, wherein said oxidizing gas is nitrous oxide.
10. An integrated circuit as in claim 1, said silicon oxynitride dielectric layer having a thickness not substantially exceeding 60 Angstroms.
11. An integrated circuit as in claim 10, wherein said first and second nitrogen concentration peaks are provided with at least 1020 nitrogen atoms/cc.
12. An integrated circuit as in claim 11, wherein said silicon oxynitride dielectric layer has a concentration of hydrogen atoms therein less than 1018 atoms/cc.
13. An integrated circuit as in claim 10, wherein said oxidizing gas is selected from the group consisting of nitrous oxide, oxygen, steam, and mixtures of nitrous oxide, oxygen, and steam.
14. An integrated circuit as in claim 13, wherein said oxidizing gas is nitrous oxide.
15. An integrated circuit as in claim 10, wherein said fabrication method further comprises the steps of:
following said second annealing step, removing said oxidizing gas from said annealing chamber,
providing a third ambient pressure of nitric oxide gas in said annealing chamber;
annealing said substrate at a third elevated temperature for a third period of time.
16. An integrated circuit as in claim 15, wherein said first and second nitrogen concentration peaks are provided with at least 1020 nitrogen atoms/cc.
17. An integrated circuit as in claim 16, wherein said oxidizing gas is selected from the group consisting of nitrous oxide., oxygen, steam, and mixtures of nitrous oxide, oxygen, and steam.
18. An integrated circuit as in claim 17, wherein said oxidizing gas is nitrous oxide.
19. An integrated circuit as in claim 18, wherein said silicon oxynitride layer has a concentration of hydrogen atoms therein less than 1018 atoms/cc.
21. The integrated circuit device of claim 20 wherein said conducting electrode is a highly doped polysilicon.
22. The integrated circuit device of claim 21 wherein said nitrogen peak concentration adjacent said interface is greater than 1020 atoms/cc.
23. The integrated circuit device of claim 22, wherein said nitrogen peak concentration at said removed surface is greater than 1020 atoms/cc.
24. The device of claim 23, wherein said silicon oxynitride layer has a concentration of hydrogen atoms therein less than 1018 atoms/cc.
25. The integrated circuit device of claim 20 wherein said nitrogen peak concentration adjacent said interface is greater than 1020 atoms/cc.
26. The integrated circuit device of claim 25, wherein said nitrogen peak concentration at said removed surface is greater than 1020 atoms/cc.
27. The device of claim 26, wherein said silicon oxynitride layer has a concentration of hydrogen atoms therein less than 1018 atoms/cc.

This invention relates to processes for the formation of ultra-thin dielectric layers for use as gate or tunnel oxides employed in integrated circuits.

The trend in integrated circuits is toward higher performance, higher speed, and lower cost. Correspondingly, device dimensions and feature sizes are shrinking for all types of integrated circuit technology. This trend necessitates the use of ultra-thin dielectrics in the fabrication of such devices as Metal-Oxide-Semiconductor (MOS) transistors and floating gate memory elements.

MOS transistors are comprised of highly doped source and drain regions in a silicon substrate, and a conducting gate electrode is situated between the source and drain but separated from the substrate by a thin gate dielectric layer. When an appropriate voltage is applied to the gate electrode, a conducting channel is created between the source and drain. Shorter channels, shallower source and drain junctions, and thinner gate dielectrics are critical to achieving smaller and faster MOS devices.

Certain Electrically Erasable Programmable Read-Only Memory (EEPROM) elements utilize a two layer polysilicon structure comprising an electrically disconnected polysilicon gate electrode, referred to as "floating gate", and a second control transistor gate above the floating gate and more removed from the substrate. The floating gate, which retains electrical charge for a long time period unless altered by an external energy source, is charged or discharged by quantum mechanical tunneling of electrons through very thin dielectrics known as "tunnel oxides". The threshold voltage of the control transistor differs for the charged and uncharged states of the floating gate.

Presently, ultra thin dielectrics less than 100 Angstroms thick, usually of high quality SiO2, are utilized as MOS gate dielectrics (commonly called gate oxides), and as tunnel oxides in floating gate EEPROM memory elements. Reliability and reproducibility of these ultra-thin oxides can be adversely affected by many factors including lack of thickness control, poor interface structure, high defect density, and impurity diffusion through the oxides. These factors can seriously degrade device performance.

Diffusion of impurities, particularly boron, through thin oxides is a major problem in processing technology. In Complementary MOS (CMOS) technology, many front end processing steps such as polysilicon gate deposition can be performed simultaneously for the NMOS and PMOS devices of CMOS circuits; however, the dopant implantation steps are performed separately, since different dopants are required. Arsenic and phosphorous, donor-type materials which provide free electrons as charge carriers, are most often used to dope the gate and source/drain regions of the NMOS devices. Boron, an acceptor-type material which provides free holes as charge carriers, is the most often used dopant for PMOS devices. Boron from the doped polysilicon gate has a much higher diffusion rate through the gate oxide layer than do arsenic or phosphorus, and can cause severe degradation of PMOS device characteristics. A concentration of charged boron ions within the gate oxide degrades the insulating characteristics of the oxide, causing gate oxide rupture at sufficiently high concentration. Additionally, boron charge within the gate oxide results in a shift of the transistor threshold voltage VT. The magnitude of this shift is a function of the concentration of diffused boron ions times the depth of their penetration into the oxide. For ultra-thin gate oxides, boron can diffuse completely through the gate oxide into the underlying substrate, causing even more severe threshold shift problems. Similar problems with boron diffusion are evidenced for the very thin tunnel oxides used in floating gate memory elements of EEPROMS. The resulting degradation in oxide breakdown characteristics lowers the number of possible program-erase cycles.

Poor interface structure between a Si substrate and an SiO2 layer results largely from strain caused by lattice mismatch between Si and SiO2. One consequence of this is the formation of interface states during high electric field stress or during exposure to high energy radiation such as x-rays. These interface states cause degradation of transistor turn-on characteristics.

Incorporation of nitrogen into the thin oxide layer has been shown to inhibit boron diffusion and to improve the Si--SiO2 interfacial structure. Specifically, a nitrogen concentration profile having a double peaked structure with a peak of nitrogen at the Si--SiO2 interface and a peak at the SiO2 surface adjacent the polysilicon gate in MOSFET's, and having a low nitrogen concentration therebetween, has been shown to effectively impede boron diffusion from the doped polysilicon gate and to maintain oxide integrity. Additionally, incorporation of nitrogen at the Si--SiO2 interface has been shown to relax the interfacial strain and improve the immunity of the oxides to interface state generation under high field stress.

Several methods for forming a nitrided oxide layer have been used. The first of these is referred to as the Nitrided Oxide (NO) method, which is described by M. Moslehi et al in J Electrochem Soc: Solid State Science and Technology, Vol 132, No. 9, September 1985, pp 2189-2197, which is hereby incorporated by reference. This method comprises growing a thin thermal oxide on the Si substrate which is then annealed in an ammonia (NH3) atmosphere to incorporate nitrogen into the oxide. Furnace anneal was initially utilized, but most recently, Rapid Thermal Anneal (RTA) has been used as an alternative. Using the NO method, peaks in nitrogen concentration are seen at the Si--SiO2 interface, hereafter referred to as the "interface", and at the SiO2 surface adjacent the polysilicon gate in MOSFET's, hereafter referred to as the "oxide surface". The nitrogen concentration within the oxide film increases monotonically with nitridation time. Thin oxides fabricated using the NO method exhibit improved resistance to boron penetration, as well as improved Si--SiO2 interfacial characteristics and low defect densities. However, decomposition of NH3 during the nitridation process also results in incorporation of hydrogen into the SiO2 layer. Si--H bonds and Si--OH bonds form, causing a large increase in electron and hole trapping and a high density of fixed charges, which result in threshold voltage instability for MOSFETs and degradation of breakdown endurance for MOSFET's and EEPROMs.

A second method, known as the reOxidized Nitrided Oxide (ONO) method, is described by T. Hori et al in IEEE Transactions on Electron Devices, Vol. 36, No. 2, February 1989, pp 340-350, also hereby incorporated by reference. The ONO method adds an additional high-temperature (800-1200°C) oxidation step after the ammonia nitridation of the NO method. The hydrogen incorporated into the oxide layer during the ammonia nitridation is reduced by the oxygen present during the subsequent oxidation step, and diffuses out at the high oxidation temperature. As reoxidation proceeds, the hydrogen concentration in the film is found to decrease monotonically, with the rate of decrease depending on the reoxidation temperature and on the nitrogen peak concentration. The hydrogen concentration approaches a minimum value approximately equal to the hydrogen levels found in thermally grown oxide. A more heavily nitrided surface layer is thought to act as a higher barrier for oxygen diffusion, making the reoxidation process slower. The reduction in hydrogen concentration is shown to proportionately reduce the electron charge trapping evidenced in the nitrided oxides.

A disadvantage of the ONO method is the relatively narrow process window for achievement of optimum oxide quality. Over-reoxidation has been shown to actually degrade oxide electrical qualities. A further disadvantage of the NO and ONO processes is the high level of nitrogen in the bulk of the oxide. The bulk nitrogen concentration, which can be as high as 5-10×1020 atoms/cc, weakens the dielectric and degrades its breakdown characteristics.

Another method of formation of an oxynitride layer utilizes an anneal in N2 O ambients. Two variations of this method have been used:

1. Formation on a Si substrate of a thermal SiO2 layer in oxygen ambient, followed by anneal in N2 O, which is described by A. Uchiyama et al in IEDM Technical Digest, IEEE, 1990, pp 425-428, hereby incorporated by reference, and

2. Growing of a thin silicon oxynitride layer directly on the Si substrate by high temperature exposure of the Si substrate to a pure N2 O ambient, described by H. Hwang et al in Appl Phys Lett 57 (10), Sep. 3, 1990, pp 1010-1011, which is hereby incorporated by reference.

Dielectric layers formed by both of these variations exhibit a nitrogen peak at the Si--SiO2 interface, and relatively small amounts of nitrogen incorporated into the oxide bulk. By way of example, a nitrogen peak concentration of 2-3×1021 /cc and a nitrogen concentration in the oxide bulk of approximately 1018 /cc have been measured for a thermal oxide annealed at 1100 degrees Centigrade in N2 O. Compared with control thermal oxides, these oxynitrides show significant reduction in interface state generation under high field stress, and lowered electron trapping. They are also shown to act as a barrier for inhibiting boron penetration into the Si substrate. The relatively low nitrogen levels in the oxide bulk yield favorable oxide breakdown characteristics.

For ultra-thin silicon oxynitride dielectric layer growth, the oxidation of Si directly in an N2 O ambient (the second variation of the above cited N2 O method), has the added advantage of a suppressed growth rate. The growth rate of silicon oxynitride in pure N2 O ambient at 1100°C using an RTP has been measured as 1.2 Å/second. By comparison, the growth rate of oxide in an O2 ambient for the same processing conditions is 10 Å/second. Simultaneous nitrogen incorporation with oxide growth results in gradual formation of an interfacial silicon oxynitride (SiOx Ny) layer which acts as an oxidant diffusion barrier. The suppressed oxidation rate provides good thickness control even in the ultra-thin range (<60 A).

A major problem with ultrathin oxides formed with N2 O ambients is the absence of any nitrogen-rich layer at the oxide surface, as reported by H. Hwang et al, in IEDM Technical Digest, IEEE, 1990, pg 424. Accordingly, no barrier exists to prevent boron from penetrating into the oxide, even if the nitrogen peak at the Si surface is effective in preventing boron penetration into the substrate. Furthermore, studies have shown that boron has diffused into the substrate for N2 O-based oxynitrides, indicating that their Si--SiO2 interface nitrogen peak concentration is below the optimal level for blocking boron diffusion.

Another prior method of nitridation of a thermally grown SiO2 layer, by either furnace or rapid thermal exposure directly to a nitric oxide (NO) ambient, has been reported very recently. The rapid thermal method is described by M. Bhat et al, in IEDM Technical Digest, IEEE, 1994, pp 329-332, which is hereby incorporated by reference. The depth profile, as measured by Secondary Ion Mass Spectrometry (SIMS), of nitrogen incorporated into the oxide is similar in shape to that of a thermal SiO2 annealed in N2 O, and has an interface peak nitrogen concentration as high as 1022 /cc for anneal at 1000 degrees Centigrade. This peak value is nearly 2 orders of magnitude higher than that seen by the authors for an N2 O annealed oxide under similar processing conditions. The enhanced interfacial nitrogen peak also provides a highly self-limiting oxynitride growth due to the barrier properties of incorporated nitrogen to diffusion of oxidants. The thickness of the nitrogen-rich interface oxynitride layer saturates at a value of approximately 3 Å. The oxynitrides produced by exposure of thermal SiO2 to NO, while having higher interface nitrogen peak levels than those produced in N2 O, share the problem of lacking a surface nitrogen barrier to prevent boron diffusion into the oxide layer itself.

It has been concluded from kinetic studies described by P. Tobin et al in VLSI Tech. Sympos., 1993, pp 51-52, which is hereby incorporated by reference, that NO is the critical species producing interfacial nitrogen pileup during oxynitridation of thermal oxide in N2 O. Heating of the N2 O causes its decomposition by the reactions:

N2 O→N2 +O,

where the atomic O recombines into O2, and

N2 O+O→2NO

It has been estimated that at 950°C, the N2 O is fully decomposed before the N2 O reaches the wafer, and the composition of the oxynitridation ambient is 64.3% N2, 31.0% O2, and 4.7% NO. Thus, the formation of a nitrogen interfacial peak by N2 O anneal depends on the indirect, thermodynamically unfavorable dissociation reaction of N2 O to NO. In contrast, the favorable, direct reaction of NO with Si is thought to produce the enhancement of interface nitrogen peak levels for NO-annealed oxides.

Still another method of incorporating nitrogen into a thin oxide layer is by ion implantation of nitrogen, described by Haddad et al in IEEE Electron Device Letters, Vol. EDL-8, No. 2, February 1987, pp 58-60, which has been utilized to provide a two-peaked nitrogen structure. Whereas this method can be effective for inhibiting boron diffusion and improving interface state generation and charge-to-breakdown values, it has numerous drawbacks. Ion implantation is expensive, and incorporating it into the process during oxide growth involves major redesign of the standard CMOS manufacturing process. Additionally, the process windows for optimal implant dose and energy are narrow, to avoid damage to the dielectric structure while still improving breakdown characteristics.

We have provided an improved process for forming an ultra thin silicon oxynitride dielectric layer on a Si substrate with improved thickness control, electrical characteristics, and resistance to boron penetration into the oxide as well as into the Si substrate. In this process a thin nitrogen-rich silicon oxynitride layer is grown on the substrate, then further processing provides an oxynitride layer with a nitrogen peak at the silicon-dielectric interface, and a peak at the dielectric surface.

An object of this invention is to provide an improved process for forming an ultra thin dielectric layer on Si, and an improved ultra thin dielectric layer formed by this process.

Another object of this invention is to provide a process for forming an ultra thin silicon oxynitride layer on Si which is resistant to boron penetration, and a silicon oxynitride layer formed by this process.

Another object of this invention is to provide a process for forming an ultra thin silicon oxynitride layer on Si which has a low density of electron traps, and a silicon oxynitride layer formed by this process.

A further object of this invention is to provide a process for forming an ultra thin silicon oxynitride layer on Si with favorable oxide breakdown characteristics, and a silicon oxynitride layer formed by this process.

A further object of this invention is to provide a process for forming an ultra thin silicon oxynitride layer on Si with a peak in nitrogen concentration at the oxide-silicon interface and a peak in nitrogen concentration at the oxide surface, and a silicon oxynitride layer formed by this process.

A further object is to provide a process for forming an ultra thin silicon oxynitride layer on Si which has precise thickness control, and a silicon oxynitride layer formed by this process.

A further object is to provide a process for forming an ultra thin silicon oxynitride layer on Si which includes forming a self-limiting nitrogen-rich layer and thereafter forming an oxide beneath the nitrogen-rich layer with a second nitrogen-rich layer forming at the Si interface.

A further object is to provide a process for forming an ultra thin oxynitride layer on Si which does not utilize hydrogen-bearing species, and an oxynitride layer formed by this process.

A further object is to provide a process for forming an improved ultra thin oxynitride layer on Si which is compatible with existing semiconductor manufacturing process flow.

A further object is to provide a process for forming an improved ultra thin oxynitride layer on Si which does not substantially add to manufacturing costs.

FIG. 1 is a process flow diagram for a preferred embodiment of the invention.

FIG. 2a is a cross sectional view of the preferred oxynitride layer structure.

FIG. 2b is a schematic representation of the nitrogen concentration profile in the oxynitride layer of FIG. 2a.

FIG. 2c is a cross sectional view of the preferred oxynitride layer structure as utilized in a MOS transistor structure.

FIG. 2d is a schematic representation of the nitrogen concentration profile in the oxynitride layer of FIG. 2c.

FIG. 2e is a schematic representation of the concentration profile of dopant species in the MOS transistor structure of FIG. 2c.

FIG. 3 is a SIMS profile of nitrogen concentration vs. depth below oxide surface, for a first sample processed according to this invention.

FIG. 4 is a SIMS profile of nitrogen concentration vs. depth below oxide surface, for a second sample processed according to this invention.

FIG. 5 is a prior art SIMS profile of nitrogen concentration vs. depth below oxide surface, for sample with thermal oxide annealed in N2 O.

With reference to FIG. 1, a preferred process flow embodiment of our invention is shown. The entire process sequence has been performed in a Reactive Thermal Processing (RTP) system, such as the Rapid Thermal Reactor manufactured by PAPRO. In step 1, a silicon wafer is provided with a clean silicon surface on which to grow the dielectric layer. In step 2, the wafer is immersed in a one atmosphere pressure of nitric oxide (NO) gas at elevated temperatures in the range of 800°C to 1150°C for a time period in the range of 10 to 3000 seconds. In step 4, the NO flow is turned off, the NO is pumped out, and one atmosphere pressure of N2 O is introduced. The wafer is annealed in the N2 O at temperatures in the range of 800°C to 1150°C for a time period in the range of 10 to 3000 seconds. Other embodiments of the invention may utilize other oxidizing ambients in place of N2 O, oxygen or steam by way of example. In step 6, the N2 O is pumped out, and an NO ambient is again introduced when additional nitrogen incorporation at the oxide/silicon interface is desired. In that case, the wafer is again annealed in NO at temperatures in the range of 800° to 1150°C for a time period in the range of 10 to 3000 seconds.

With reference to FIG. 2a, the preferred structure for the oxynitride layer on the Si substrate is shown. Substrate 8 is annealed in NO and N2 O gases as described in the process flow, forming dielectric oxynitride layer 10 on surface 11. Upper surface 12 of oxynitride layer 10 would be adjacent to any subsequently formed conducting gate for a MOS transistor. Surface region 14, and interface region 16 of dielectric 10 have elevated nitrogen concentrations, as represented by nitrogen concentration profile 20 in FIG. 2b. Nitrogen concentration surface peak 22 and nitrogen concentration interface peak 24 provide barriers to impurity diffusion through dielectric 10, as well as improving structure of the Si-dielectric interface at surface 11. In FIG. 2c, the preferred structure for oxynitride layer 10 on Si substrate 8 is shown, with a conducting gate 13 such as heavily doped polysilicon above the oxynitride layer 10. Nitrogen surface peak 22 in region 14 provides a barrier to impurity diffusion of impurities 23 from polysilicon gate 13 into oxynitride 10. FIG. 2e schematically illustrates the abrupt concentration profile of a dopant species of a transistor according to this invention in which the oxynitride layer of this invention is seen to preclude the diffusion of the high concentration dopant from the conductive polysilicon gate 13 into or across the oxynitride layer 10, as well as serving as a barrier for diffusion of dopant species from or into the underlying Si 8.

TABLE I
______________________________________
Effect of N2 O cycle parameters on oxide thickness
Sample Description (N2 O cycle)
Oxide Thickness (Å)
______________________________________
I. 100 sec. @ 1050°C (RTA)
45
II. 200 sec. @ 1100°C (RTA)
110
______________________________________

Table I summarizes N2 O anneal conditions and thickness data for oxide grown on Si substrates processed according to the process flow of FIG. 1. The data is obtained from SIMS analysis, with a low energy, low fluence Cs+ ion beam used to collect depth profiles of CsO+, CsN+, and CsSi+ molecular clusters. The position of the oxide/Si interface is defined as the depth at which the CsO signal drops by one decade. In all calculations and depth profiles the first few data points are excluded to eliminate the SIMS blast-through artifact. Unfortunately, this artifact prevents obtaining accurate data points at the surface of the oxide layer. SIMS analysis is described in Semiconductor Material and Device Characterization, D. K Schroder, John Wiley and Sons, 1990, Ch. 10.

For the experiments of Table I, substrate samples I and II have both been first rapidly thermally annealed in 1 atmosphere NO for 50 seconds, at a temperature of 1000°C Then sample I is provided 100 seconds rapid thermal anneal in 1 atmosphere N2 O at 1050°C Measured oxide thickness on sample I is 45 Å, corresponding to a growth rate of 0.45 Å/sec. Sample II is provided 200 seconds rapid thermal anneal in 1 atmosphere N2 O at 1100°C Measured oxide thickness on sample II is 110 Å, corresponding to a growth rate of 0.55 Å/sec. These growth rates are approximately a factor of two lower than those observed in the prior art for oxidation in an N2 O ambient without initial NO anneal.

For each of the samples, there is considerable oxide growth during the N2 O anneal. This growth indicates that, during the N2 O anneal, oxidizing species penetrate through the nitrogen-rich interface layer 16 formed during the initial NO anneal, since basic oxidation kinetic theory states that the oxidation reactions occur directly at the Si surface. Thus, the oxidation mechanism must involve the diffusion of atomic or molecular oxygen, which are products of the dissociation reaction of N2 O, through the nitrogen-rich interface region. Although the oxide growth occurs, it is quite slow, and therefore the inventive process provides precise control of the oxide thickness.

With reference to FIG. 3, a SIMS depth profile through the oxide layer and the Si--SiO2 interface is shown for Sample I, but the data very near (i.e., within the first 10 Angstroms beneath) the oxide surface is excluded because of the blast through artifact. A peak nitrogen concentration of approximately 7×1020 atoms/cc is seen near the Si--SiO2 interface, at a depth of approximately 30 Å. This peak concentration corresponds to approximately 1 atomic % nitrogen.

With reference to FIG. 4, a SIMS depth profile through the oxide layer and the Si--SiO2 interface is shown for Sample II, again with the surface data excluded. A peak nitrogen concentration of approximately 6×1020 atoms/cc is seen near the Si--SiO2 interface, at a depth of approximately 90 Å. This peak concentration corresponds to approximately 0.9 atomic % nitrogen. For the samples of Table I, the hydrogen atom concentration in said silicon oxynitride layer is less than 1018 atoms/cc. Also, the bulk nitrogen concentration in said layer is less than 1018 atoms/cc.

FIG. 5 shows a SIMS depth profile from the reference by Bhat et al, cited above, for a thermally grown SiO2 layer of 104 Å thickness rapidly thermally annealed in N2 O at 1000°C for 100 seconds. The surface data is excluded, as in FIGS. 3 and 4. It is seen that the position and magnitude of the nitrogen peak concentration closely match with those for substrate II after 200 seconds rapid thermal anneal in N2 O at 1100°C, as seen from FIG. 4. The nitrogen incorporation in the bulk of the oxide and at the SiO2 --Si interface for the inventive process of an NO anneal followed by N2 O anneal is quite similar to that for the prior art thermal SiO2 annealed in N2 O. However, in the inventive process, since the oxygen species from the N2 O dissociation reaction must penetrate the nitrogen-rich layer formed during NO anneal to grow the oxide layer at the Si surface, it is believed that the nitrogen-rich layer must be pushed up and remain at the surface of the oxide layer, even though it cannot be resolved by SIMS due to the SIMS blast-through artifact. The resultant structure will provide the desired double-peaked nitrogen profile for blocking of boron penetration into the oxide and substrate, and for yielding improved Si-oxide interface characteristics. The low nitrogen concentration in the oxide bulk will avoid degradation of oxide breakdown characteristics.

When higher interface nitrogen concentration is required, tailoring of the interface peak nitrogen concentration is accomplished by further anneal in NO ambient following the N2 O anneal.

Our invention, in addition to providing the preferred nitrogen profile in the ultra thin oxide layers, involves process modifications which are easily incorporated into existing semiconductor manufacturing processes, and they add insubstantially to the cost of the process. Our invention provides precise oxide thickness control without narrowing the process window due to the extremely slow oxide growth rate. Also, the preferred embodiment of the inventive process is performed without introduction of any hydrogen species, and avoids hydrogen-induced charge trapping.

Although our preferred process utilizes rapid thermal processing in the temperature range 800°C to 1150°C, and ambient atmospheric pressures of NO and N2 O, it is not essential that this exact method and parameters be used. Other embodiments may utilize furnace anneals for all the processes, and other oxidizing ambients such as O2 or steam may be used in place of N2 O.

It is not our intention to limit our invention to the preferred embodiment, but rather the scope of our invention should be construed in view of our claims.

Ogle, Jr., Robert Bertram, Hao, Ming-Yin, Wristers, Derick

Patent Priority Assignee Title
10090395, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
10141302, Sep 11 2011 WOLFSPEED, INC High current, low switching loss SiC power module
10147798, Jun 17 2016 Acorn Semi, LLC MIS contact structure with metal oxide conductor
10153364, Sep 11 2011 WOLFSPEED, INC Power module having a switch module for supporting high current densities
10170627, Nov 18 2016 Acorn Semi, LLC Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
10186592, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
10388748, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
10505047, Nov 18 2016 Acorn Semi, LLC Nanowire transistor with source and drain induced by electrical contacts with negative Schottky barrier height
10553695, Jun 17 2016 Acorn Semi, LLC MIS contact structure with metal oxide conductor
10833199, Nov 18 2016 Acorn Semi, LLC Nanowire transistor with source and drain induced by electrical contacts with negative Schottky barrier height
10872964, Jun 17 2016 Acorn Semi, LLC MIS contact structure with metal oxide conductor
10937880, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
10950707, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
11018237, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
11024731, Sep 11 2011 WOLFSPEED, INC Power module for supporting high current densities
11043571, Aug 12 2002 Acorn Semi, LLC Insulated gate field effect transistor having passivated schottky barriers to the channel
11056569, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
11171229, Sep 11 2011 WOLFSPEED, INC Low switching loss high performance power module
11355613, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
11462643, Nov 18 2016 Acorn Semi, LLC Nanowire transistor with source and drain induced by electrical contacts with negative Schottky barrier height
11843040, Jun 17 2016 Acorn Semi, LLC MIS contact structure with metal oxide conductor
6040249, Aug 08 1997 Texas Instruments Incorporated Method of improving diffusion barrier properties of gate oxides by applying ions or free radicals of nitrogen in low energy
6150286, Jan 03 2000 GLOBALFOUNDRIES Inc Method of making an ultra thin silicon nitride film
6204192, Mar 29 1999 Bell Semiconductor, LLC Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
6215146, Jan 14 1998 Mitsubishi Kenki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
6215163, Mar 10 1997 Fujitsu Semiconductor Limited Semiconductor device and method of manufacturing the same where the nitrogen concentration in an oxynitride insulating layer is varied
6232658, Jun 30 1999 Bell Semiconductor, LLC Process to prevent stress cracking of dielectric films on semiconductor wafers
6248628, Oct 25 1999 MONTEREY RESEARCH, LLC Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
6303047, Mar 22 1999 Bell Semiconductor, LLC Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
6316354, Oct 26 1999 Bell Semiconductor, LLC Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
6346488, Jun 27 2000 Bell Semiconductor, LLC Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
6346490, Apr 05 2000 Bell Semiconductor, LLC Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
6350700, Jun 28 2000 Bell Semiconductor, LLC Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
6362085, Jul 19 2000 Taiwan Semiconductor Manufacturing Company Method for reducing gate oxide effective thickness and leakage current
6365528, Jun 07 2000 Bell Semiconductor, LLC LOW TEMPERATURE PROCESS FOR FORMING A LOW DIELECTRIC CONSTANT FLUORINE AND CARBON-CONTAINING SILICON OXIDE DIELECTRIC-MATERIAL CHARACTERIZED BY IMPROVED RESISTANCE TO OXIDATION AND GOOD GAP-FILLING CAPABILITIES
6368979, Jun 28 2000 Bell Semiconductor, LLC Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
6391768, Oct 30 2000 Bell Semiconductor, LLC Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
6414376, Jul 31 1997 Micron Technology, Inc. Method and apparatus for reducing isolation stress in integrated circuits
6420277, Nov 01 2000 Bell Semiconductor, LLC Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
6423628, Oct 22 1999 Bell Semiconductor, LLC Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
6423630, Oct 31 2000 Bell Semiconductor, LLC Process for forming low K dielectric material between metal lines
6432773, Apr 08 1999 Microchip Technology Incorporated Memory cell having an ONO film with an ONO sidewall and method of fabricating same
6433383, Jul 20 1999 MONTEREY RESEARCH, LLC Methods and arrangements for forming a single interpoly dielectric layer in a semiconductor device
6489649, Dec 26 1996 TESSERA ADVANCED TECHNOLOGIES, INC Semiconductor device having nonvolatile memory and method of manufacturing thereof
6492240, Sep 14 2000 United Microelectronics Corp. Method for forming improved high resistance resistor by treating the surface of polysilicon layer
6503840, May 02 2001 Bell Semiconductor, LLC Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
6506678, May 19 2000 Bell Semiconductor, LLC Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
6524974, Mar 22 1999 Bell Semiconductor, LLC FORMATION OF IMPROVED LOW DIELECTRIC CONSTANT CARBON-CONTAINING SILICON OXIDE DIELECTRIC MATERIAL BY REACTION OF CARBON-CONTAINING SILANE WITH OXIDIZING AGENT IN THE PRESENCE OF ONE OR MORE REACTION RETARDANTS
6528423, Oct 26 2001 Bell Semiconductor, LLC PROCESS FOR FORMING COMPOSITE OF BARRIER LAYERS OF DIELECTRIC MATERIAL TO INHIBIT MIGRATION OF COPPER FROM COPPER METAL INTERCONNECT OF INTEGRATED CIRCUIT STRUCTURE INTO ADJACENT LAYER OF LOW K DIELECTRIC MATERIAL
6528433, Jun 14 2000 Polaris Innovations Limited Method for monitoring nitrogen processes
6537923, Oct 31 2000 Bell Semiconductor, LLC Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
6559033, Jun 27 2001 Bell Semiconductor, LLC Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
6559048, May 30 2001 Bell Semiconductor, LLC Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
6562700, May 31 2001 Bell Semiconductor, LLC Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
6566171, Jun 12 2001 AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE LIMITED Fuse construction for integrated circuit structure having low dielectric constant dielectric material
6566281, Oct 15 1997 GLOBALFOUNDRIES Inc Nitrogen-rich barrier layer and structures formed
6572925, Feb 23 2001 Bell Semiconductor, LLC Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
6583026, May 31 2001 Bell Semiconductor, LLC Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
6596585, Dec 26 1996 TESSERA ADVANCED TECHNOLOGIES, INC Method of manufacturing semiconductor device
6597046, Nov 24 1998 Intel Corporation Integrated circuit with multiple gate dielectric structures
6602798, Jul 31 1997 Micron Technology, Inc. Method and apparatus for reducing isolation stress in integrated circuits
6610366, Oct 03 2000 Cree, Inc. Method of N2O annealing an oxide layer on a silicon carbide layer
6613665, Oct 26 2001 Bell Semiconductor, LLC Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
6613695, Nov 24 2000 ASM IP HOLDING B V Surface preparation prior to deposition
6639228, Aug 28 2001 ProMos Technologies Inc. Method for molecular nitrogen implantation dosage monitoring
6642156, Aug 01 2001 GOOGLE LLC Method for forming heavy nitrogen-doped ultra thin oxynitride gate dielectrics
6649219, Feb 23 2001 Bell Semiconductor, LLC Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
6670695, Feb 29 2000 United Microelectronics Corp. Method of manufacturing anti-reflection layer
6673721, Jul 02 2001 Bell Semiconductor, LLC PROCESS FOR REMOVAL OF PHOTORESIST MASK USED FOR MAKING VIAS IN LOW K CARBON-DOPED SILICON OXIDE DIELECTRIC MATERIAL, AND FOR REMOVAL OF ETCH RESIDUES FROM FORMATION OF VIAS AND REMOVAL OF PHOTORESIST MASK
6703278, Feb 20 2002 GLOBALFOUNDRIES Inc Method of forming layers of oxide on a surface of a substrate
6703690, Jul 31 1997 Micron Technology, Inc. Apparatus for reducing isolation stress in integrated circuits
6706644, Jul 26 2002 Meta Platforms, Inc Thermal nitrogen distribution method to improve uniformity of highly doped ultra-thin gate capacitors
6713394, Sep 13 2000 Bell Semiconductor, LLC Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
6723653, Aug 17 2001 Bell Semiconductor, LLC Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
6750157, Oct 12 2000 MONTEREY RESEARCH, LLC Nonvolatile memory cell with a nitridated oxide layer
6756674, Oct 22 1999 Bell Semiconductor, LLC Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
6764902, Dec 26 1996 TESSERA ADVANCED TECHNOLOGIES, INC Method of manufacturing semiconductor device
6767843, Oct 03 2000 Cree, Inc. Method of N2O growth of an oxide layer on a silicon carbide layer
6780720, Jul 01 2002 GLOBALFOUNDRIES U S INC Method for fabricating a nitrided silicon-oxide gate dielectric
6784485, Feb 11 2000 ALSEPHINA INNOVATIONS INC Diffusion barrier layer and semiconductor device containing same
6790784, Jun 19 2001 Bell Semiconductor, LLC Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure
6800940, Oct 22 1999 Bell Semiconductor, LLC Low k dielectric composite layer for integrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
6806551, Jun 12 2001 AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE LIMITED Fuse construction for integrated circuit structure having low dielectric constant dielectric material
6858195, Feb 23 2001 Bell Semiconductor, LLC Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
6881664, Aug 28 2001 Bell Semiconductor, LLC Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
6909157, Jul 26 2002 Meta Platforms, Inc Thermal nitrogen distribution method to improve uniformity of highly doped ultra-thin gate capacitors
6930056, Jun 19 2001 Bell Semiconductor, LLC Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
6956238, Oct 03 2000 Cree, Inc. SILICON CARBIDE POWER METAL-OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTORS HAVING A SHORTING CHANNEL AND METHODS OF FABRICATING SILICON CARBIDE METAL-OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTORS HAVING A SHORTING CHANNEL
6958277, Nov 24 2000 ASM IP HOLDING B V Surface preparation prior to deposition
6960537, Oct 02 2001 ASM IP HOLDING B V Incorporation of nitrogen into high k dielectric film
6972436, Aug 28 1998 Cree, Inc High voltage, high temperature capacitor and interconnection structures
6979863, Apr 24 2003 Cree, Inc. Silicon carbide MOSFETs with integrated antiparallel junction barrier Schottky free wheeling diodes and methods of fabricating the same
6998322, Aug 28 1998 Cree, Inc. Methods of fabricating high voltage, high temperature capacitor and interconnection structures
6998354, Mar 13 2000 Tadahiro, Ohmi Flash memory device and fabrication process thereof, method of forming a dielectric film
6998355, Mar 13 2000 Tadahiro Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
7001855, Mar 13 2000 Foundation for Advancement of International Science Flash memory device and fabrication process thereof, method of forming a dielectric film
7015168, Feb 23 2001 Bell Semiconductor, LLC Low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
7022378, Aug 30 2002 Cree, Inc Nitrogen passivation of interface states in SiO2/SiC structures
7026681, Mar 13 2000 Foundation for Advancement of International Science Flash memory device and fabrication process thereof, method of forming a dielectric film
7056835, Nov 24 2000 ASM IP HOLDING B V Surface preparation prior to deposition
7067176, Oct 03 2000 Cree, Inc. Method of fabricating an oxide layer on a silicon carbide layer utilizing an anneal in a hydrogen environment
7071113, Jul 02 2001 Bell Semiconductor, LLC Process for removal of photoresist mask used for making vias in low K carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
7074643, Apr 24 2003 Cree, Inc. Silicon carbide power devices with self-aligned source and well regions and methods of fabricating same
7075139, Dec 26 1996 TESSERA ADVANCED TECHNOLOGIES, INC Method of manufacturing semiconductor device
7084423, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
7109083, Mar 13 2000 Tadahiro, Ohmi Flash memory device and a fabrication process thereof, method of forming a dielectric film
7138691, Jan 22 2004 GLOBALFOUNDRIES U S INC Selective nitridation of gate oxides
7141483, Sep 19 2002 Applied Materials, Inc Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
7176483, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
7221010, Dec 20 2002 Cree, Inc. Vertical JFET limited silicon carbide power metal-oxide semiconductor field effect transistors
7381992, Apr 24 2003 Cree, Inc. Silicon carbide power devices with self-aligned source and well regions
7396776, Jul 10 2006 Meta Platforms, Inc Semiconductor-on-insulator (SOI) structures including gradient nitrided buried oxide (BOX)
7405453, Oct 02 2001 ASM IP HOLDING B V Incorporation of nitrogen into high k dielectric film
7431967, Sep 19 2002 Applied Materials, Inc Limited thermal budget formation of PMD layers
7456116, Sep 19 2002 Applied Materials, Inc Gap-fill depositions in the formation of silicon containing dielectric materials
7462860, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
7476627, Nov 24 2000 ASM IP HOLDING B V Surface preparation prior to deposition
7528051, May 14 2004 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
7534731, Mar 30 2007 Tokyo Electron Limited Method for growing a thin oxynitride film on a substrate
7569284, Oct 02 2001 ASM IP HOLDING B V Incorporation of nitrogen into high k dielectric film
7635655, Mar 30 2006 Tokyo Electron Limited Method for replacing a nitrous oxide based oxidation process with a nitric oxide based oxidation process for substrate processing
7642171, Aug 04 2004 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
7658973, Feb 04 2003 Applied Materials, Inc Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
7659214, Sep 30 2007 Tokyo Electron Limited Method for growing an oxynitride film on a substrate
7674727, Sep 19 2002 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
7727904, Sep 16 2005 Cree, Inc Methods of forming SiC MOSFETs with high inversion layer mobility
7759260, Jan 22 2004 GLOBALFOUNDRIES Inc Selective nitridation of gate oxides
7795690, May 24 2005 UNIFIED INNOVATIVE TECHNOLOGY, LLC Thin film transistor substrate and method of fabricating the same
7883980, Aug 12 2002 Acorn Semi, LLC Insulated gate field effect transistor having passivated schottky barriers to the channel
7884003, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
7902029, Aug 12 2002 Acorn Semi, LLC Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
7910494, Mar 29 2006 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
7923320, Dec 20 2002 Cree, Inc. Methods of fabricating vertical JFET limited silicon carbide metal-oxide semiconductor field effect transistors
8053373, Jul 10 2006 Meta Platforms, Inc Semiconductor-on-insulator(SOI) structures including gradient nitrided buried oxide (BOX)
8193848, Jun 02 2009 Cree, Inc. Power switching devices having controllable surge current capabilities
8198708, Jul 13 2006 National Semiconductor Corporation System and method for improving CMOS compatible non volatile memory retention reliability
8263467, Aug 12 2002 Acorn Semi, LLC Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
8288220, Mar 27 2009 Cree, Inc. Methods of forming semiconductor devices including epitaxial layers and related structures
8288826, Jul 10 2006 GLOBALFOUNDRIES U S INC Semiconductor-on-insulator (SOI) structures including gradient nitrided buried oxide (BOX)
8294507, May 08 2009 Cree, Inc. Wide bandgap bipolar turn-off thyristor having non-negative temperature coefficient and related control circuits
8330244, Aug 01 2006 Cree, Inc. Semiconductor devices including Schottky diodes having doped regions arranged as islands and methods of fabricating same
8354690, Aug 31 2009 Cree, Inc. Solid-state pinch off thyristor circuits
8377767, Aug 12 2002 Acorn Semi, LLC Insulated gate field effect transistor having passivated schottky barriers to the channel
8415671, Apr 16 2010 Cree, Inc Wide band-gap MOSFETs having a heterojunction under gate trenches thereof and related methods of forming such devices
8431469, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
8432012, Aug 01 2006 Cree, Inc Semiconductor devices including schottky diodes having overlapping doped regions and methods of fabricating same
8445381, Sep 26 2003 Infineon Technologies LLC Oxide-nitride stack gate dielectric
8492827, Dec 20 2002 Cree, Inc. Vertical JFET limited silicon carbide metal-oxide semiconductor field effect transistors
8536066, Sep 16 2005 Cree, Inc. Methods of forming SiC MOSFETs with high inversion layer mobility
8541787, Jul 15 2009 Cree, Inc. High breakdown voltage wide band-gap MOS-gated bipolar junction transistors with avalanche capability
8546920, Jul 10 2006 GLOBALFOUNDRIES U S INC Semiconductor-on-insulator (SOI) structures including gradient nitrided buried oxide (BOX)
8557702, Feb 02 2009 ASM IP HOLDING B V Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
8618582, Sep 11 2011 WOLFSPEED, INC Edge termination structure employing recesses for edge termination elements
8629509, Jun 02 2009 Cree, Inc. High voltage insulated gate bipolar transistors with minority carrier diverter
8653534, May 21 2008 WOLFSPEED, INC Junction Barrier Schottky diodes with current surge capability
8664665, Sep 11 2011 WOLFSPEED, INC Schottky diode employing recesses for elements of junction barrier array
8680587, Sep 11 2011 WOLFSPEED, INC Schottky diode
8710510, Aug 17 2006 Cree, Inc High power insulated gate bipolar transistors
8835987, Feb 27 2007 Cree, Inc Insulated gate bipolar transistors including current suppressing layers
8916437, Aug 12 2002 Acorn Semi, LLC Insulated gate field effect transistor having passivated schottky barriers to the channel
8927404, Jan 31 2008 Kioxia Corporation Insulating film and semiconductor device including the same
8928108, Mar 08 2010 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
9018108, Jan 25 2013 Applied Materials, Inc Low shrinkage dielectric films
9029945, May 06 2011 Cree, Inc Field effect transistor devices with low source resistance
9029975, Mar 08 2010 Cree, Inc. Semiconductor devices with heterojunction barrier regions and methods of fabricating same
9064840, Feb 27 2007 Cree, Inc. Insulated gate bipolar transistors including current suppressing layers
9076788, Jan 31 2008 Kioxia Corporation Insulating film and semiconductor device including the same
9117739, Mar 08 2010 WOLFSPEED, INC Semiconductor devices with heterojunction barrier regions and methods of fabricating same
9142662, May 06 2011 Cree, Inc Field effect transistor devices with low source resistance
9209261, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
9231070, May 26 2006 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
9231122, Sep 11 2011 WOLFSPEED, INC Schottky diode
9263595, Apr 28 2009 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memories and methods of fabrication thereof
9373617, Sep 11 2011 WOLFSPEED, INC High current, low switching loss SiC power module
9425277, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
9461167, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
9466574, Feb 02 2009 ASM IP HOLDING B V Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
9548374, Aug 17 2006 Cree, Inc. High power insulated gate bipolar transistors
9583614, Aug 12 2002 Acorn Semi, LLC Insulated gate field effect transistor having passivated schottky barriers to the channel
9595618, Mar 08 2010 WOLFSPEED, INC Semiconductor devices with heterojunction barrier regions and methods of fabricating same
9620611, Jun 17 2016 Acorn Semi, LLC MIS contact structure with metal oxide conductor
9640617, Sep 11 2011 WOLFSPEED, INC High performance power module
9640652, Mar 27 2009 Cree, Inc. Semiconductor devices including epitaxial layers and related methods
9673283, Sep 11 2011 WOLFSPEED, INC Power module for supporting high current densities
9812542, Aug 12 2002 Acorn Semi, LLC Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
9865750, Sep 11 2011 WOLFSPEED, INC Schottky diode
9905691, Aug 12 2002 Acorn Semi, LLC Method for depinning the fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
9984894, Aug 03 2011 Cree, Inc.; Auburn University Forming SiC MOSFETs with high channel mobility by treating the oxide interface with cesium ions
Patent Priority Assignee Title
4621277, Jun 14 1978 Fujitsu Limited Semiconductor device having insulating film
4907064, Sep 08 1986 NEC Electronics Corporation Semiconductor device having silicon oxynitride film with improved moisture resistance
5250456, Sep 13 1991 SGS-Thomson Microelectronics, Inc. Method of forming an integrated circuit capacitor dielectric and a capacitor formed thereby
5254506, Dec 20 1988 RPX Corporation Method for the production of silicon oxynitride film where the nitrogen concentration at the wafer-oxynitride interface is 8 atomic precent or less
5407870, Jun 07 1993 Apple Inc Process for fabricating a semiconductor device having a high reliability dielectric material
5500816, Jul 30 1993 Renesas Electronics Corporation Non-volatile semiconductor memory device and manufacturing method thereof
5541436, Jan 07 1994 The Regents of the University of Texas System MOS transistor having improved oxynitride dielectric
5554876, Sep 05 1991 Renesas Electronics Corporation Field effect transistor including silicon oxide film and nitrided oxide film as gate insulator film and manufacturing method thereof
5596218, Oct 18 1993 HEWLETT-PACKARD DEVELOPMENT COMPANY, L P Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
EP617461A2,
//////////////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 27 1996HAO, MING-YINAdvanced Micro Devices, INCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0081960325 pdf
Aug 27 1996OGLE, ROBERT BERTRAM, JR Advanced Micro Devices, INCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0081960325 pdf
Aug 30 1996WRISTERS, DERICKAdvanced Micro Devices, INCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0081960325 pdf
Sep 05 1996Advanced Micro Devices, Inc.(assignment on the face of the patent)
Jan 31 2007Advanced Micro Devices, INCSPANSION INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0190280617 pdf
Jan 31 2007SPANSION INC Spansion LLCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0190690013 pdf
May 10 2010SPANSION TECHNOLOGY INC BARCLAYS BANK PLCSECURITY AGREEMENT0245220338 pdf
May 10 2010Spansion LLCBARCLAYS BANK PLCSECURITY AGREEMENT0245220338 pdf
Mar 12 2015Cypress Semiconductor CorporationMORGAN STANLEY SENIOR FUNDING, INC CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429 ASSIGNOR S HEREBY CONFIRMS THE SECURITY INTERST 0580020470 pdf
Mar 12 2015BARCLAYS BANK PLCSPANSION TECHNOLOGY LLCRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0352010159 pdf
Mar 12 2015BARCLAYS BANK PLCSpansion LLCRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0352010159 pdf
Mar 12 2015Cypress Semiconductor CorporationMORGAN STANLEY SENIOR FUNDING, INC SECURITY INTEREST SEE DOCUMENT FOR DETAILS 0352400429 pdf
Mar 12 2015Spansion LLCMORGAN STANLEY SENIOR FUNDING, INC SECURITY INTEREST SEE DOCUMENT FOR DETAILS 0352400429 pdf
Mar 12 2015Spansion LLCMORGAN STANLEY SENIOR FUNDING, INC CORRECTIVE ASSIGNMENT TO CORRECT THE 8647899 PREVIOUSLY RECORDED ON REEL 035240 FRAME 0429 ASSIGNOR S HEREBY CONFIRMS THE SECURITY INTERST 0580020470 pdf
Jun 01 2015Spansion, LLCCypress Semiconductor CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0360190156 pdf
Aug 11 2016MORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTSpansion LLCPARTIAL RELEASE OF SECURITY INTEREST IN PATENTS0397080001 pdf
Aug 11 2016Cypress Semiconductor CorporationMONTEREY RESEARCH, LLCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0409110238 pdf
Aug 11 2016MORGAN STANLEY SENIOR FUNDING, INC , AS COLLATERAL AGENTCypress Semiconductor CorporationPARTIAL RELEASE OF SECURITY INTEREST IN PATENTS0397080001 pdf
Date Maintenance Fee Events
Dec 30 2002M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Dec 18 2006M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Jan 03 2011M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Aug 17 20024 years fee payment window open
Feb 17 20036 months grace period start (w surcharge)
Aug 17 2003patent expiry (for year 4)
Aug 17 20052 years to revive unintentionally abandoned end. (for year 4)
Aug 17 20068 years fee payment window open
Feb 17 20076 months grace period start (w surcharge)
Aug 17 2007patent expiry (for year 8)
Aug 17 20092 years to revive unintentionally abandoned end. (for year 8)
Aug 17 201012 years fee payment window open
Feb 17 20116 months grace period start (w surcharge)
Aug 17 2011patent expiry (for year 12)
Aug 17 20132 years to revive unintentionally abandoned end. (for year 12)