A semiconductor substrate having recesses filled with heteroepitaxial silicon-containing material with different portions having different impurity concentrations. Strained layers can fill recessed source/drain regions in a graded, bottom-up fashion. layers can also line recess sidewalls with one concentration of strain-inducing impurity and fill the remainder to the recess with a lower concentration of the impurity. In the latter case, the sidewall liner can be tapered.

Patent
   7759199
Priority
Sep 19 2007
Filed
Sep 19 2007
Issued
Jul 20 2010
Expiry
Oct 08 2027
Extension
19 days
Assg.orig
Entity
Large
31
215
all paid
1. A method of selectively forming semiconductor material, comprising:
providing a substrate within a chemical vapor deposition chamber, the substrate comprising insulating surfaces and single-crystal semiconductor surfaces, wherein the single-crystal semiconductor surfaces include a recess having a sidewall immediately adjacent a transistor channel; and
selectively forming a semiconductor stressor in the recess, wherein the semiconductor stressor is graded such that an upper portion of the semiconductor stressor within the recess has a higher amount of strain than lower portions and wherein the upper portion of the semiconductor stressor extends within the recess to the sidewall of the recess;
wherein selectively forming comprises:
depositing semiconductor material over the insulating surfaces and the single-crystal semiconductor surfaces;
selectively removing non-epitaxial semiconductor material from the insulating surfaces, and selectively removing epitaxial material from the sidewall of the recess while leaving epitaxial material at a bottom of the recess; and
repeating depositing and selectively removing in a plurality of cycles, wherein each cycle adds to a thickness of epitaxial material at a bottom of the recess and wherein a layer of semiconductor material contains a higher concentration of a dopant than an underlying layer of semiconductor material in the recess.
2. The method of claim 1, wherein the semiconductor stressor comprises discrete layers.
3. The method of claim 1, wherein depositing semiconductor material comprises blanket depositing semiconductor material over the insulating surfaces and the single-crystal semiconductor surfaces of the substrate.
4. The method of claim 3, wherein blanket depositing comprises non-selectively depositing.
5. The method of claim 3, wherein blanket depositing comprises forming predominantly amorphous semiconductor material over the insulating surfaces.
6. The method of claim 3, wherein blanket depositing comprises flowing trisilane and a carbon precursor into the chemical vapor deposition chamber.
7. The method of claim 1, wherein the semiconductor material comprises carbon-doped silicon.
8. The method of claim 1, wherein the strain is highest within the recess at a top portion of the recessed region.
9. The method of claim 1, wherein at least an uppermost layer of the semiconductor stressor is tensile strained.
10. The method of claim 3, wherein blanket depositing comprises flowing a germanium source.
11. The method of claim 3, wherein blanket depositing comprises forming predominantly polycrystalline material over the insulating surfaces.
12. The method of claim 3, wherein blanket depositing comprises flowing at least some etchant.
13. The method of claim 1, wherein selectively removing non-epitaxial semiconductor material and epitaxial semiconductor material involves introducing an etch chemistry comprising a germanium source.
14. The method of claim 13, wherein the germanium source comprises GeCl4.
15. The method of claim 1, further comprising forming a silicon capping layer over the selectively formed semiconductor stressor.

This invention relates generally to the deposition of silicon-containing materials in semiconductor processing, and relates more specifically to epitaxial deposition of silicon-containing materials in recessed source and drain regions of semiconductor substrates.

In forming integrated circuits, epitaxial layers are often desired in selected locations, such as active area mesas among field isolation regions, or even more particularly over defined source and drain regions. While non-epitaxial material, which can be amorphous or polycrystalline, can be selectively removed from over the field isolation regions after deposition, it is typically considered more efficient to simultaneously provide chemical vapor deposition (“CVD”) and etching chemicals, and to tune conditions to result in zero net deposition over insulating regions and net epitaxial deposition over exposed semiconductor windows. This process, known as selective epitaxial CVD, takes advantage of slow nucleation of typical semiconductor deposition processes on insulators like silicon oxide or silicon nitride. Such selective epitaxial CVD also takes advantage of the naturally greater susceptibility of amorphous and polycrystalline materials to etchants, as compared to the susceptibility of epitaxial layers.

Examples of the many situations in which selective epitaxial formation of semiconductor layers is desirable include a number of schemes for producing strain. The electrical properties of semiconductor materials, such as silicon, carbon-doped silicon, germanium, and silicon germanium alloys, are influenced by the degree to which the materials are strained. For example, semiconductor materials can exhibit enhanced electron mobility under tensile strain, which is particularly desirable for NMOS devices; and enhanced hole mobility under compressive strain, which is particularly desirable for PMOS devices. Methods of enhancing the performance of semiconductor materials are of considerable interest and have potential applications in a variety of semiconductor processing applications. Semiconductor processing is typically used in the fabrication of integrated circuits, which entails particularly stringent quality demands, as well as in a variety of other fields. For example, semiconductor processing techniques are also used in the fabrication of flat panel displays using a wide variety of technologies, as well as in the fabrication of microelectromechanical systems (“MEMS”).

A number of approaches for inducing strain in silicon- and germanium-containing materials have focused on exploiting the differences in the lattice constants between various crystalline materials. For example, the lattice constant for crystalline germanium is 5.65 Å, the lattice constant for crystalline silicon is 5.431 Å, and the lattice constant for diamond carbon is 3.567 Å. Heteroepitaxy involves depositing thin layers of a particular crystalline material onto a different crystalline material in such a way that the deposited layer adopts the lattice constant of the underlying crystal material. For example, using this approach, strained silicon germanium layers can be formed by heteroepitaxial deposition onto single crystal silicon substrates. Because the germanium atoms are slightly larger than the silicon atoms and the deposited heteroepitaxial silicon germanium is constrained to the smaller lattice constant of the silicon beneath it, the silicon germanium is compressively strained to a degree that varies as a function of the germanium content. Typically, the band gap for the silicon germanium layer decreases monotonically from 1.12 eV for pure silicon to 0.67 eV for pure germanium as the germanium content in the silicon germanium increases. In another approach, tensile strain is formed in a thin single crystalline silicon layer by heteroepitaxially depositing the silicon layer onto a relaxed silicon germanium layer. In this example, the heteroepitaxially deposited silicon is strained because its lattice constant is constrained to the larger lattice constant of the relaxed silicon germanium beneath it. A tensile strained channel typically exhibits increased electron mobility, and a compressively strained channel exhibits increased hole mobility.

In these examples, strain is introduced into single crystalline silicon-containing materials by replacing silicon atoms with other atoms in the lattice structure. This technique is typically referred to as substitutional doping. For example, substitution of germanium atoms for some of the silicon atoms in the lattice structure of single crystalline silicon produces a compressive strain in the resulting substitutionally doped single crystalline silicon material because the germanium atoms are larger than the silicon atoms that they replace. It is possible to introduce a tensile strain into single crystalline silicon by substitutional doping with carbon because carbon atoms are smaller than the silicon atoms that they replace. Additional details are provided in “Substitutional Carbon Incorporation and Electronic Characterization of Si1-yCy/Si and Si1-x-yGexCy/Si Heterojunctions” by Judy L. Hoyt, Chapter 3 in “Silicon-Germanium Carbon Alloy”, Taylor and Francis, pp. 59-89 (New York 2002), referred to herein as “the Hoyt article.” However, non-substitutional impurities will not induce strain.

Similarly, electrical dopants should also be substitutionally incorporated into epitaxial layers in order to be electrically active. Either the dopants are incorporated as deposited or the substrate should be annealed to achieve the desired level of substitutionality and dopant activation. In situ doping of either impurities for tailored lattice constant or electrical dopants is often preferred over ex situ doping followed by annealing to incorporate the dopant into the lattice structure because the annealing consumes thermal budget. However, in practice in situ substitutional doping is complicated by the tendency for the dopant to incorporate non-substitutionally during deposition, for example, by incorporating interstitially in domains or clusters within the silicon rather than by substituting for silicon atoms in the lattice structure. Non-substitutional doping complicates, for example, carbon doping of silicon, carbon doping of silicon germanium, and doping of semiconductors with electrically active dopants. As illustrated in FIG. 3.10 at page 73 of the Hoyt article, prior deposition methods have been used to make crystalline silicon having an in situ doped substitutional carbon content of up to 2.3 atomic %, which corresponds to a lattice spacing of over 5.4 Å and a tensile stress of less than 1.0 GPa.

Source and drain recesses can be filled with a silicon-containing alloy as a “stressor” to exert a compressive or tensile strain on the silicon channel between the source and drain. For example, strained epitaxial silicon germanium (“SiGe”) in source and drain recesses can exert a compressive strain on the silicon channel and enhance hole mobility. Similarly, a carbon-doped silicon (“Si:C”) epitaxial alloy under a tensile strain in source/drain recesses can introduce a tensile strain on the channel and enhance electron mobility. In general, the strain on the channel is related to the concentration of the impurity, such as C or Ge. In other words, the higher the Ge or C content, the higher the strain produced.

In accordance with an aspect of the invention, a method is provided for selectively forming semiconductor material. A substrate is provided within a chemical vapor deposition chamber. The substrate includes insulating surfaces and single-crystal semiconductor surfaces. The single-crystal semiconductor surfaces include a recess. Semiconductor stressors are selectively formed in the recess. The semiconductor stressor is graded such that an upper portion of the semiconductor stressor within the recess has a higher amount of strain than lower portions and the upper portion extends to sidewalls of the recesses.

In accordance with another aspect of the invention, a method is provided for selectively forming heteroepitaxial semiconductor material. Semiconductor material is deposited over the bottom and sidewall surfaces of a recessed single-crystal semiconductor region of a substrate. Portions of the semiconductor material are selectively removed from the sidewall surfaces of the recessed region while leaving a heteroepitaxial layer of the semiconductor material over the bottom surfaces. Depositing and selectively removing are repeated, wherein a subsequently deposited heteroepitaxial layer of the semiconductor material contains a different concentration of a strain-inducing impurity compared to a previously deposited heteroepitaxial layer of the semiconductor material.

In accordance with another aspect of the invention, a method is provided for forming semiconductor material in a recess. A substrate with insulating regions and the recess formed therein is provided. A liner layer of heteroepitaxial silicon-containing material is deposited in the recess. The liner layer includes a strain-inducing impurity and partially fills the recess. The recess is filled with a filler including silicon-containing material having a lower concentration of the impurity than the liner layer by depositing the filler over the liner layer.

In accordance with another aspect of the invention, a semiconductor device is provided, including a recess in the substrate, a heteroepitaxial liner, a filler, and a transistor channel adjacent the recess. The heteroepitaxial silicon-containing liner covers substantially all single-crystal sidewall surfaces of the recess. The liner includes an impurity that alters a lattice constant. The filler is formed over the liner and fills the recesses. The filler includes a silicon-containing material having a lower concentration of the impurity than the liner over which the filler is formed.

In accordance with yet another aspect of the invention, a semiconductor substrate is provided, comprising a recess, and a transistor channel adjacent the recess. The recess is filled with a heteroepitaxial stressor material. An upper portion of the stressor material within the recess has a first impurity concentration and a lower portion of the stressor material within the recess has a second impurity concentration. The first impurity concentration is higher than the second impurity concentration and the upper portion extends to contact sidewalls of the recess.

Exemplary embodiments of the methods and systems disclosed herein are illustrated in the accompanying drawings, which are for illustrative purposes only. The drawings include the following figures, in which like numerals indicate like parts.

FIG. 1 is a flowchart illustrating a process for selectively forming strained epitaxial semiconductor layers in a bottom-up fashion in recessed source/drain regions of a substrate.

FIG. 2 is a schematic cross-sectional illustration of a partially formed semiconductor structure including recessed source/drain regions formed in a semiconductor substrate.

FIG. 3 is a schematic cross-sectional illustration of the partially formed semiconductor structure of FIG. 2 after performing a blanket deposition of a carbon-doped silicon film including epitaxial deposition on bottoms of the recessed source/drain regions.

FIG. 4 is a schematic cross-sectional illustration of the partially formed semiconductor structure of FIG. 3 after performing a selective chemical vapor etch process to remove carbon-doped silicon from insulator and recessed sidewall regions.

FIGS. 5A-5D are schematic cross-sectional illustrations of the partially formed semiconductor structure of FIG. 4 after performing further cycles of blanket deposition and selective etch, depositing layers of increasing strain in a bottom-up fashion.

FIG. 6 is a flow chart illustrating a process for forming a strained liner layer in recessed source/drain regions of a substrate.

FIGS. 7-8 are schematic cross-sectional illustrations of the partially formed semiconductor structure of FIG. 2 after forming a liner layer comprising a silicon-containing film in recessed regions of a mixed substrate surface and filling the recessed regions with a filler, in accordance with another embodiment.

FIG. 9 is a flow chart illustrating a process for forming a faceted strained liner layer in recessed source/drain regions of a substrate.

FIGS. 10-11 are schematic cross-sectional illustrations of the partially formed semiconductor structure of FIG. 6 after annealing the liner layer and filling the recessed regions with a filler, in accordance with another embodiment.

FIG. 12 is a micrograph showing an annealed SiGe liner layer.

The term “impurity” is used herein to refer to additives, such as germanium or carbon, that alter the semiconductor lattice constant relative to silicon alone; the resultant semiconductor compound is often referred to as an alloy, or simply as a heteroepitaxial layer. “Dopants” can refer to either impurities or electrical dopants, such as phosphorous, arsenic, boron, or the like. The term “silicon-containing material” and similar terms are used herein to refer to a broad variety of silicon-containing materials, including without limitation, silicon (including crystalline silicon), carbon-doped silicon (“Si:C”), silicon germanium (“SiGe”), and carbon-doped silicon germanium (“SiGe:C”). As used herein, “carbon-doped silicon”, “Si:C”, “silicon germanium”, “SiGe,” “carbon-doped silicon germanium”, “SiGe:C” and similar terms refer to materials that contain the indicated chemical elements in various proportions and, optionally, minor amounts of other elements. For example, “silicon germanium” is a material that comprises silicon, germanium and, optionally, other elements, for example, dopants such as carbon and electrically active dopants. Shorthand terms such as “Si:C” and “SiGe:C” are not stoichiometric chemical formulas per se and thus are not limited to materials that contain particular ratios of the indicated elements. Furthermore, terms such as Si:C and SiGe:C are not intended to exclude the presence of other dopants, such that a phosphorous and carbon-doped silicon material is included within the term Si:C and the term Si:C:P. The percentage of a dopant, such as carbon or germanium, in a silicon-containing film is expressed herein in atomic percent on a whole film or sub-film basis, unless otherwise stated. It will be understood that the concentration of impurity dopants, such as carbon or germanium, but excluding other elements, such as electrical dopants, in a silicon-containing film, as described herein, is at least about 0.3 atomic %. The skilled artisan will understand, however, that electrical dopants can induce strain in layers and thus may also be included in such layers.

It is possible to determine the amount of impurity, such as germanium or carbon, substitutionally doped into a silicon-containing material, for example, by measuring the perpendicular lattice spacing of the doped silicon-containing material by x-ray diffraction, then applying Vegard's Law by performing a linear interpolation between single crystal silicon and single crystal germanium for SiGe alloys or applying the Kelires/Berti relation for carbon within Si:C alloys. Additional details on this technique are provided in the Hoyt article. Secondary ion mass spectrometry (“SIMS”) can be used to determine the total impurity content in the doped silicon. It is possible to determine the non-substitutional or interstitial impurity content by subtracting the substitutional impurity content from the total impurity content. The amount of other elements substitutionally doped into other silicon-containing materials can be determined in a similar manner.

“Substrate,” as that term is used herein, refers either to the workpiece upon which deposition is desired, or the surface exposed to one or more deposition gases. For example, in certain embodiments, the substrate is a single crystal silicon wafer, a semiconductor-on-insulator (“SOI”) substrate, or an epitaxial silicon surface, a silicon germanium surface, or a III-V material deposited upon a wafer. Workpieces are not limited to wafers, but also include glass, plastic, or other substrates employed in semiconductor processing. In the illustrated embodiments, the substrate has been patterned to have two or more different types of surfaces. In certain embodiments, silicon-containing layers are selectively formed over single crystal semiconductor materials while minimizing, and more preferably avoiding, deposition over adjacent dielectrics or insulators. In other embodiments, deposition occurs epitaxially over single-crystal semiconductor surfaces while depositing amorphous or polycrystalline material over adjacent insulators. Examples of dielectric or insulator materials include silicon dioxide, including low dielectric constant forms, such as carbon-doped and fluorine-doped oxides of silicon, silicon nitride, metal oxide and metal silicate.

The terms “epitaxial,” “epitaxially,” “heteroepitaxial,” “heteroepitaxially” and similar terms are used herein to refer to the deposition of a crystalline silicon-containing material onto a crystalline substrate in such a way that the deposited layer adopts or follows the lattice constant of the underlying layer or substrate. Epitaxial deposition is heteroepitaxial when the composition of the deposited layer is different from that of the underlying layer or substrate. Epitaxial deposition is homoepitaxial when the composition of the deposited layer is the same as that of the underlying layer or substrate.

In certain applications, a patterned substrate has a first surface having a first surface morphology and a second surface having a second surface morphology. Even if surfaces are made from the same elements, the surfaces are considered different if the morphologies or crystallinity of the surfaces are different. Amorphous and crystalline are examples of different morphologies. Polycrystalline morphology is a crystalline structure that consists of a disorderly arrangement of orderly crystals and thus has an intermediate degree of order. The atoms in a polycrystalline material are ordered within each of the crystals, but the crystals themselves lack long range order with respect to one another. Single crystal morphology is a crystalline structure that has a high degree of long range order. Epitaxial films are characterized by an in-plane crystal structure and orientation that is identical to the substrate upon which they are grown, typically single crystal. The atoms in these materials are arranged in a lattice-like structure that persists over relatively long distances on an atomic scale. Amorphous morphology is a non-crystalline structure having a low degree of order because the atoms lack a definite periodic arrangement. Other morphologies include microcrystalline and mixtures of amorphous and crystalline material. “Non-epitaxial” thus encompasses amorphous, polycrystalline, microcrystalline and mixtures of the same. As used herein, “single-crystal” or “epitaxial” are used to describe a predominantly large crystal structure having a tolerable number of faults therein, as is commonly employed for transistor fabrication. The crystallinity of a layer generally falls along a continuum from amorphous to polycrystalline to single-crystal; a crystal structure is often considered single-crystal or epitaxial despite low density faults. Specific examples of mixed substrates having more than two different types of surfaces, whether due to different morphologies and/or different materials, include without limitation: single crystal/polycrystalline, single crystal/amorphous, epitaxial/polycrystalline, epitaxial/amorphous, single crystal/dielectric, epitaxial/dielectric, conductor/dielectric, and semiconductor/dielectric. Methods described herein for depositing silicon-containing films onto mixed substrates having two types of surfaces are also applicable to mixed substrates having three or more different types of surfaces.

When grown into recessed source/drain areas to thicknesses below its critical thickness, tensile strained silicon-containing material induces uniaxial tensile strain into the silicon channel adjacent to the recessed source/drain areas. Such tensile strained materials include, without limitation, carbon-doped silicon films (Si:C films) and carbon-doped silicon germanium films (SiGe:C films) in which the germanium concentration is less than about 8-10× the carbon concentration), causing enhanced electron mobility, which is particularly beneficial for NMOS devices. This eliminates the need to provide a relaxed silicon germanium buffer layer to support the strained silicon layer. In such applications, electrically active dopants are incorporated by in situ doping, using dopant sources or dopant precursors. Typical n-type dopant sources include arsenic vapor and dopant, hydrides, such as phosphine and arsine. Silylphosphines, for example (H3Si)3-xPRx, and silylarsines, for example, (H3Si)3-xAsRx, where x=0, 1 or 2 and Rx=H and/or deuterium (D), are alternative precursors for phosphorous and arsenic dopants. Phosphorous and arsenic are particularly useful for doping source and drain areas of NMOS devices. SbH3 and trimethylindium are alternative sources of antimony and indium, respectively. Such dopant precursors are useful for the preparation of films as described below, preferably phosphorous-, antimony-, indium-, and arsenic-doped silicon, Si:C, and SiGe:C films and alloys.

When grown into recessed source/drain areas to thicknesses below the critical thickness, compressively strained silicon-containing material induces uniaxial compressive strain in the silicon channel adjacent to the recessed source/drain areas, causing enhanced hole mobility, which is particularly beneficial for PMOS devices. Such compressively strained materials include, without limitation, silicon germanium films (“SiGe films”) and carbon-doped silicon germanium films (“SiGe:C films”) in which the germanium concentration is greater than about 8-10× the carbon concentration. In such applications, electrically active dopants are incorporated by in situ doping, using dopant sources or dopant precursors. Typical p-type dopant precursors include diborane (B2H6) and boron trichloride (BCl3) for boron doping. Other p-type dopants for Si include Al, Ga, In, and any metal to the left of Si in the Mendeleiev table of elements. Such dopant precursors are useful for the preparation of films as described below, preferably boron-doped silicon, SiGe, and SiGe:C films and alloys.

There are limits on the thickness of the layer of SiGe or Si:C that can be grown in recessed source and regions without excessive dislocations. The thickness of the layer that can be grown is generally inversely proportional to the impurity content. Currently, SiGe alloys of uniform composition and thicknesses in the range of about 10-50 nm can be deposited with acceptable dislocation amounts for SiGe with less than about 40 atomic % Ge and Si:C with less than about 3 atomic % C. Beyond these limits, the allowable thickness of the layer and growth rates decrease dramatically as the process temperature is decreased in order to inhibit dislocation nucleation. For example, typically, only a few monolayers of pure Ge can be grown on silicon without dislocation. Beyond the critical thickness, a significant amount of dislocation, which is detrimental to the performance of the device, is produced in the layer. High overall impurity content can cause dislocations. In the preferred embodiments described herein, overall impurity content in a stressor is reduced while still maximizing the effects of strain by localizing it at the sidewalls of recesses adjacent the transistor channel.

Techniques have now been developed for forming a strained film comprising a silicon-containing material, such as Si:C, SiGe, and SiGe:C, in exposed semiconductor windows. In the illustrated embodiments, the strained films are deposited into recessed source/drain regions to exert stress on an adjacent channel region, and are therefore also referred to as “stressors.” According to preferred embodiments, strained heteroepitaxial semiconductor material is deposited in recessed source/drain regions to increase the strain induced on an adjacent transistor channel region relative to the overall stress induced in the substrate. Because the stressors have different compositions at different regions within the recesses, the stressors are graded, but grading can be either continuous or stepwise in two or more discrete layers.

Graded Stressor with Maximum Strain at Surface Extending to Recess Sidewall

FIGS. 1-5D illustrate an embodiment in which the deposition of the heteroepitaxial stressor material is conducted in a bottom-up fashion and graded such that the highest strain is at the top surface and extends to the sidewall of the recess. For example, it is possible to accomplish such formation by (a) blanket depositing a Si:C film into a recess, and (b) selectively etching the semiconductor material from sidewalls of the recesses, leaving a heteroepitaxial layer at the bottom of the recess. Step (b) can simultaneously etch non-epitaxial semiconductor material over insulators. Steps (a) and (b) are optionally repeated cyclically with different impurity levels and thus different levels of strain until a target epitaxial film thickness over the recessed source/drain regions is achieved. In alternative embodiments, other deposition techniques can be used to form a vertically graded silicon-containing material in recesses of a substrate.

It is possible to form recessed source/drain regions by dry etching with subsequent HF cleaning and in situ anneal. In embodiments wherein a dry etch is used, deposition of a selectively grown, thin (between approximately 1 nm and approximately 3 nm) silicon seed layer helps reduce etch damage. A seed layer also helps to cover damage caused by prior dopant implantation processes. In an example embodiment, such a seed layer might be selectively deposited using simultaneous provision of HCl and dichlorosilane at a deposition temperature between about 700° C. and about 800° C.

In accordance with certain embodiments, a cyclical blanket deposition and etch process is illustrated in the flowchart provided in FIG. 1, and in the schematic illustrations of the partially formed semiconductor structures illustrated in FIG. 2 through FIG. 5E. While discussed below in the context of an embodiment for tensile strained Si:C deposition by a particular cyclical process, it will be appreciated that bottom-up, graded filling of recesses, as described herein, can be used in the formation of epitaxial films for other strained materials formed in a bottom-up fashion by other techniques. The Si:C embodiment preferably includes between about 0.1 atomic % and 4 atomic %, and preferably in the range of between about 1 atomic % and 3 atomic % substitutional carbon and is graded to have the highest strain near the substrate surface. The skilled artisan will understand that the preferred cyclical process can selectively form Si:C with higher carbon concentrations for a given film quality than possible with simultaneous etchant and precursor flow for conventional selective deposition, and also allows the portion of the stressor with the highest strain at the top of the recess to extend to the recess wall adjacent the channel. It will be understood that, in some implementations, the recess wall might be defined by an epitaxial layer deposited to line the recess after the recess is etched. The techniques described herein can be used for deposition of other epitaxial films, such as SiGe and SiGe:C, in recessed source/drain regions.

In particular, FIG. 1 illustrates that a substrate having recessed source/drain regions is placed in a process chamber in operational block 10. As indicated by operational block 20, a semiconductor alloy layer is conformally deposited over the substrate. In one embodiment, this conformal deposition is a blanket deposition that leaves amorphous or polycrystalline material over any insulator regions of the substrate and epitaxial deposition over the bottom and sidewalls of the source/drain regions. After the conformal deposition, any regions of amorphous or polycrystalline material and the sidewall epitaxial material are selectively etched, as indicated by operational block 30. After the selective etch, it is determined whether the target thickness of epitaxial film in the recessed source/drain regions is achieved, as shown by operational block 40. If the target thickness has been achieved, the process is ended, as shown in operational block 45. If the target thickness has not been achieved, the process continues cyclically by incrementing or increasing the strain-inducing impurity concentration, such as carbon, as indicated at operational block 50. This increased concentration is used for the next conformal deposition of the semiconductor alloy layer, which is indicated by operational block 20. The next conformal deposition with the increased impurity concentration is followed by selective etch of any amorphous or polycrystalline and sidewall epitaxial material, as shown in operational block 30. After this deposition and etch process, the epitaxial film in the recessed source/drain thickness is evaluated to determine whether the target thickness has been achieved, as shown in operational block 40. This cyclical process is repeated until the target thickness is achieved. At least two cycles 20-50 are conducted in order to achieve a graded stressor.

FIG. 2 provides a schematic illustration of an exemplary substrate that includes a patterned insulator 110 formed in a semiconductor substrate 100, such as a silicon wafer. The illustrated insulator 110, in the form of oxide-filled shallow trench isolation (STI), defines field isolation regions 112 and is adjacent recessed source/drain regions 114 shown on either side of a gate electrode 115 structure. Note that the gate electrode 115 overlies a channel region 117 of the substrate. Together, the channel 117, source and drain regions 114 define a transistor active area, which is typically surrounded by field isolation 112 to prevent cross-talk with adjacent devices. In other arrangements, multiple transistors can be surrounded by field isolation. In one case, the top of the gate structure 115, can be capped with a dielectric material. This surface then behaves similarly to the field regions 110 with respect to the deposition thereover, and the deposition over the top of the gate will have the similar crystallinity as the deposition over the field region. In the case that the gate 115 is not capped with a dielectric material, the surface of the gate will grow polycrystalline material which then can be removed through in-situ etching of polycrystalline material, but a different set of conditions, such as pressure, gas flow, etc., might apply, for ensuring removal of material from the field 110.

An embodiment that involves the specific example of carbon-doped silicon (Si:C) for NMOS applications is described below. As illustrated schematically in FIG. 3, a blanket Si:C layer 120, 125, 130 is deposited over the mixed substrate, preferably using trisilane as a silicon precursor, and also flowing a carbon precursor. This results in predominantly amorphous or polycrystalline or non-epitaxial deposition 120 of Si:C over field isolation regions 112, and lower epitaxial deposition 125 and sidewall epitaxial deposition 130 of Si:C lining the recessed source/drain regions 114. Note that “blanket deposition” means that net deposition results over both the amorphous insulator 110 and the single crystal source/drain regions 114 in the deposition phase. While lack of etchants or halides is preferred in the blanket deposition process, in which case the deposition can also be considered “non-selective,” some amount of an etchant might be desirable to tune the ratio of deposited thickness over the various regions. In case such small amounts of etchant are desirable, the deposition process may be partially selective but nevertheless blanket, since each deposition phase will have net deposition over both the insulator 110 and single crystal region 114.

According to an embodiment, the regions of amorphous or polycrystalline deposition 120 and the sidewall epitaxial deposition 130 are then selectively etched, thus resulting in the structure that is schematically illustrated in FIG. 4. In another embodiment, the deposition on the sidewall region could be polycrystalline or amorphous material. While some epitaxially deposited Si:C is removed from the lower epitaxial layer 125 in the recessed source/drain regions 114 during the selective etch, at least some of the lower epitaxial layer 125 remains. The sidewall epitaxial layer 130 grows over a different crystallographic plane and is also more defective than the lower epitaxial layer 125 due to a growth rate differential on the two surfaces. The skilled artisan will appreciate that the lattice spacing in the vertical sidewall epitaxial layer 130 is smaller than that in the lower epitaxial layer 125, which results in the growth rate differential on the two surfaces. Accordingly, the sidewall epitaxial layer 130 is more readily removed, along with the non-epitaxial material 120. Thus, each cycle of the process can be tuned to achieve largely bottom-up filling of the recesses 114. As will be appreciated from the discussion of FIG. 1, each cycle includes blanket conformal deposition 20 and selective etching 30 from the recess sidewalls.

As discussed in more detail below, in exemplary embodiments, the vapor etch chemistry preferably comprises a halide, such as fluorine-, bromine- or chlorine-containing vapor compounds, and particularly a chlorine source, such as HCl or Cl2. In some embodiments, the etch chemistry also contains a germanium source, such as a germane like a monogermane (GeH4), GeCl4, metallorganic Ge precursors, or solid source Ge. The skilled artisan will appreciate that the same etch chemistries are also suitable for SiGe and SiGe:C films.

After the selective etch process described above with respect to FIG. 4, a second blanket Si:C layer 122, 132, 135 is then deposited over the mixed substrate, as shown in FIG. 5A. This second blanket Si:C layer 122, 132, 135 contains a higher carbon concentration than the first blanket Si:C layer 120-130, as shown in FIG. 3. According to an embodiment, the carbon concentration of the first blanket Si:C layer 120, 125, 130 is between about 1 atomic % and 1.5 atomic %, and the carbon concentration of the second blanket Si:C layer 122, 132, 135 is greater than about 1.5 atomic %, and preferably in a range of about 1.5 atomic % to 4 atomic %. In an alternative embodiment for growth of SiGe films, the germanium concentration of a first blanket SiGe layer 120, 125, 130 is in a range of 10 atomic % to 20 atomic %, and preferably about 15 atomic %; the germanium concentration of the second blanket SiGe layer 122, 132, 135 is in a range of 20 atomic % to 100 atomic %, and preferably in a range of about 30 atomic % to 60 atomic %. As shown in FIG. 5A, the second blanket Si:C layer 122, 132, 135 includes amorphous or polysilicon portions 122, the sidewall epitaxial portions 132 and the recess bottom surface portions 135. This second layer of Si:C 122, 132, 135 is then selectively etched to remove the non-epitaxial portions of the Si:C over the amorphous insulators 110 in the oxide regions 112 as well as the sidewall epitaxial layer 132, as shown in FIG. 5B. In another embodiment, the sidewall deposition is amorphous or polycrystalline. In any event, the sidewall layer is more readily removed than the bottom epitaxial material for this embodiment.

This cyclical process, including blanket deposition of a Si:C layer having a progressively higher carbon concentration followed by a selective etch process, is repeated until a target thickness of epitaxial Si:C film thickness is achieved over the recessed source/drain regions 114, as indicated by decisional block 40 shown in FIG. 1. This cyclical process is also schematically illustrated in FIG. 5A, which illustrates deposition of a second cycle of blanket Si:C layer 122, 132, 135, and in FIG. 5B, which shows etching of a second cycle of the amorphous or polycrystalline Si:C layer 122 and sidewall epitaxial layer 132, to leave bottom-covering epitaxial Si:C with increased thickness. The bottom-covering epitaxial Si:C with increased thickness includes discrete graded layers 125, 135 in recessed source/drain regions 114. FIG. 5C illustrates the result of a further cycle to leave epitaxial-filled source/drain regions 114, where the top layer 145 of the discrete selective graded epitaxial layers is roughly coplanar with the field oxide 110. Although illustrated as one further cycle, the skilled artisan will appreciate that additional cycles may be performed to fill the recessed source/drain regions 114.

While FIG. 5C shows three discrete graded layers, the skilled artisan will appreciate that, in other embodiments, there may be greater or fewer numbers of discrete graded layers to achieve an epitaxial-filled source/drain region having a top surface that is roughly coplanar with the field oxide. It will be understood that, in another embodiment, the discrete graded epitaxial layers 125, 135, 145 may be selectively deposited as elevated source/drain regions 114. As shown in FIG. 5C, each deposited layer covers at least a portion of the sidewall surfaces of the recessed regions 114. According to an alternative embodiment, the layers 125, 135, 145, etc. may form a continuously graded layer, with each subsequently deposited layer having a progressively higher carbon concentration. For example, each layer may be graded as deposited and/or subsequent thermal treatments may smooth out the grading by diffusion. Whether the graded layer is continuous or stepwise, the highest strain within the recessed regions 14 is at the top of the recess (approximately planar with the surface of the wafer), and each of the graded epitaxial layers 125, 135, 145, etc. extends to the recess sidewalls adjacent the channel. Thus, even at the sidewall, the grading is predominantly vertical rather than horizontal extending away from the sidewall. AS noted above, in some arrangements, the recess sidewall is defined by the etch process with optional recess clean-up or thermal smoothing step. In other arrangements, the recess sidewall is defined by a lining layer, such as a thin epitaxial layer. Each deposited layer of the graded structure can have a thickness of about 1 nm to 100 nm. According to another embodiment, each deposited layer has a thickness of about 3 nm to 50 nm. According to yet another embodiment, each deposited layer has a thickness of about 3-5 nm. In some embodiments, each of the graded epitaxial layers has the same thickness. In other embodiments, the graded epitaxial layers have different relative thicknesses.

The selective formation process may further include additional cycles of blanket deposition and selective etch back to remove deposited material from dielectric regions to form an optional capping layer 150, as shown in FIG. 5D. The capping layer 150 can be with or without impurities or electrical dopants. For example, the portion of the elevated source/drain regions 114 that is above the original substrate surface and above the channel 117 between the source/drain regions 114, can be carbon-free, because this portion does not contribute to the strain on the channel 117 as it is above the level of the channel 117. Thus, the optional capping layer 150 can be formed of Si, SiGe, SiGe:C, or Si:C, and can serve to provide extra Si for contact silicidation. In one embodiment, the layers 125, 135, 145 can be formed of Si:C while the capping layer 150 is formed of Si, SiGe, SiGe:C, or Si:C. In another embodiment, the layers 125, 135, 145 can be formed of SiGe while the capping layer 150 is formed of Si, SiGe, SiGe:C, or Si:C. In an exemplary embodiment, the deposited graded Si:C layers optionally include an electrically active dopant, particularly one suitable for NMOS devices, such as phosphorous or arsenic.

In one embodiment, to aid in maintaining high concentrations of substitutional carbon and electrically active dopants, while at the same time minimizing temperature ramp/stabilization times, the substrate temperature, at least during the etch phases 30 of FIG. 1, is preferably kept low, for example, in a range between about 350° C. and 700° C. Using a low temperature for the etch also reduces the likelihood that electrically active dopant atoms are deactivated during the etch. For example, etching with Cl2 gas advantageously allows the etch temperature to be reduced, thus helping to maintain the substitutional carbon and electrically active dopants. Low temperatures for the etch phase enables roughly matching deposition phase temperatures while taking advantage of the high dopant incorporation achieved at low temperatures. Etch rates can be enhanced to allow these lower temperatures without sacrificing throughput by including a germanium source, such as, for example, GeH4, GeCl4, metallorganic Ge precursors, solid source Ge, during the etch phase, or by flash ramping the temperature during the etch phases to improve throughput. Isothermal processing, where the setpoint temperature remains relatively constant, for example, within ±10° C., throughout the cycles improves throughput and minimizes time for temperature ramping and stabilization. Similarly, both blanket deposition and etching process are preferably isobaric, with pressure setpoints within ±20 Torr of one another. Isothermal and/or isobaric conditions facilitate better throughput for avoiding ramp and stabilization times.

As illustrated in FIG. 1, the two-stage process of performing a blanket deposition followed by a selective etch is optionally repeated cyclically until a target epitaxial film thickness filling the source/drain recesses is achieved. Example process parameters for stabilizing and one cycle are summarized in Table A below, which lists both exemplary operating points as well as preferred operating ranges in parentheses. As is evident from Table A, the process conditions—such as chamber temperature, chamber pressure and carrier gas flow rates—are preferably substantially similar for the deposition and the etch phases, thereby allowing throughput to be increased. Thus, the example below employs isothermal and isobaric conditions for both phases of a cycle. Other parameters are used for subsequently deposited layers having different impurity concentrations. For example, the Si and C precursor flow may differ, or the chamber temperature may be adjusted to deposit layers having a higher impurity concentration.

TABLE A
TABLE A
process phase
post- flash- post-
stabilize deposit bake bake
chamber purge of pre-bake temp cool and
temp and pre- process etchant spike temp
atmosphere deposit Deposit gases stabilize (optional) stabilize
time 5 15 5 5 6.5 12.5
(sec) (2.5-7.5) (5-20) (2.5-7.5) (2.5-7.5) (3.0-10) (10-15)
temp 550 550 550 550 550 temp 550
(° C.) (500-650) (500-650) (500-650) (500-650) (500-650) spike (500-650)
pressure 64 64 64 64 64 64 64
(Torr) (50-200) (50-200) (50-200) (50-200) (50-200) (50-200) (50-200)
H2/He 2.0 2.0 2.0 2.0 2.0 2.0 2.0
(slm) (0.5-20) (0.5-20) (0.5-20) (0.5-20) (0.5-20) (0.5-20) (0.5-20)
Cl2/HCl 200 200 200
(sccm) (5-1000) (5-1000) (5-1000)
Si3H8 75 75 75
(mg/min) (50-200) (50-200) (50-200)
CH3SiH3 150 150 150
(sccm) (10-300) (10-300) (10-300)
PH3 50 50 50
(sccm) (10-200) (10-200) (10-200)

Table A provides exemplary process parameters for depositing epitaxial Si:C films in recessed source/drain regions, as discussed above with respect to FIGS. 1-5D. Using the parameters provided in Table A, it is possible to achieve net growth rates that are preferably between about 4 nm min−1 and about 11 nm min−1, and more preferably between about 8 nm min−1 and about 11 nm mink, for epitaxial Si:C:P films that are selectively deposited in recessed source/drain regions. It is also possible to achieve thin Si:C:P films with substitutional carbon content up to 3.5% as determined by applying the Kelires/Berti relation, and with resistivities between about 0.4 mΩ cm and about 2.0 mΩ cm. By manipulating the deposition conditions, it is possible to obtain other film properties. The skilled artisan will appreciate that the deposition conditions are typically adjusted for deposition of subsequent layers.

During the etch process disclosed herein, epitaxial Si:C is etched significantly slower than amorphous or polycrystalline Si:C in each etch phase with an etch selectivity in the range between about 10:1 and 30:1. Sidewall epitaxial material is also preferentially removed in the etch phases. In a preferred embodiment, the cyclical deposition and etch process conditions are tuned to reduce or eliminate net growth over the amorphous insulator 110 while achieving net growth in each cycle in the epitaxial recessed source/drain regions 114, particularly on the bottom surfaces of the recesses 114. This cyclical process is distinguishable from conventional selective deposition processes in which deposition and etching reactions occur simultaneously.

Tables B and C below give two examples of deposition and etch durations and resultant thicknesses using a recipe similar to that of Table A. The recipes are differently tuned to modulate both deposition and etch rates by increasing the partial pressure of the Si3H8 and optimizing etchant partial pressures.

TABLE B
Deposition Phase Etch Phase
Growth rate [nm/min] 28 13 α-etch rate [nm/min]
Deposition time[s] 22 47.4 Minimum etch time[s]
60 % overetch
75.8 Effective etch time[s]
Deposited α-thickness [nm] 10.27 16.43 Removed α thickness
[nm]
Deposited epi-thickness 9.78 0.82 Removed c thickness
[nm] per deposition step [nm] per etch step
α/epi growth rate ratio 1.05 20 In-situ etch selectivity
Purge (pre epi + post epi) [s] 25 25 Purge (pre epi + post epi)
[s]
Final time/cycle[s] 122.8
Final thk/cycle [nm] 8.96
Average growth rate [nm/min] 4.38

TABLE C
Deposition Phase Etch Phase
Growth rate [nm/min] 80 25 Etch rate [nm/min]
Deposition time[s] 8 25.6 Minimum etch time[s]
30 % overetch
33.28 Effective etch time[s]
Deposited α-thickness [nm] 10.67 13.87 Removed α thickness
[nm]
Deposited epi-thickness 10.67 0.693 Removed c thickness
[nm] per deposition step [nm] per etch step
α/epi growth rate ratio 1 20 In-situ etch selectivity
Purge (pre epi + post epi) [s] 20 20 Purge (pre epi + post
epi) [s]
Final time/cycle[s] 61.3
Final thk/cycle [nm] 9.977
Average growth rate [nm/min] 9.76

As noted above, in alternative embodiments, instead of the cyclical blanket deposition/selective etching process described above, other selective deposition techniques may be used to deposit graded stressors in the recesses in a bottom-up fill manner.

Retrograded Stressor with Maximum Strain Lining Recesses.

FIG. 6 illustrates that a substrate having recesses is provided in operational block 300. As indicated by operational block 310 in FIG. 6, the single-crystal surfaces of the substrate's recesses are lined with a heteroepitaxial strained liner. After lining the recesses, the lined recesses are filled with a material having reduced strain compared to the strained liner, as indicated by operational block 320.

FIGS. 7-8 illustrate an embodiment of the method of FIG. 6. FIG. 7 provides a schematic illustration of an exemplary substrate that includes a patterned insulator 210 formed in a semiconductor substrate 200, such as a silicon wafer. The illustrated insulator 210, in the form of oxide-filled STI, defines field isolation regions 212 and is adjacent the recessed source/drain regions 214 shown on either side of a gate electrode 215 structure, which overlies a channel region 217 of the substrate 200. For purposes of illustration, the insulator 210 is shown separated from the recessed source/drain regions 214, such that all of the recess surfaces are defined by single-crystal silicon. It will be understood, though, that in other arrangements, some recess surfaces can be defined by insulator material, as shown in FIG. 2. A liner layer 225 of a heteroepitaxial silicon-containing material, such as SiGe, SiGe:C, and Si:C, is formed in recessed source/drain regions 214 of a substrate 200 also having insulator regions 210, as illustrated in FIG. 7. The heteroepitaxial liner layer 225 is preferably selectively and heteroepitaxially deposited on the single crystal surfaces of the recessed source/drain regions 214.

According to another embodiment, the heteroepitaxial liner layer 225 may be formed by selectively depositing a blanket layer of a silicon-containing material, such as SiGe, SiGe:C, or Si:C, over a mixed substrate having insulator regions and recessed source/drain regions and selectively etching the blanket layer such that the deposited silicon-containing material remains only in the recessed source/drain regions, as described above with respect to FIGS. 1-5D. The skilled artisan will appreciate that the blanket layer of the silicon-containing material is a substantially amorphous or polycrystalline or non-epitaxial material over the field isolation regions 212 and epitaxial material over the bottom surface of the recessed regions 214. The single-crystal sidewalls of the recessed regions 214 are also covered with the heteroepitaxial liner layer 225 of the silicon-containing material, as shown in FIG. 7. The epitaxial material over the bottom surface of the recessed regions 214 and the epitaxial material on the sidewalls together for the heteroepitaxial liner layers 225 of the recessed regions 214. After selective etching, only the heteroepitaxial liner layer 225 remains in the recessed source/drain regions 214.

As shown in FIG. 7, the heteroepitaxial liner layer 225 lines the recessed regions 214 such that the heteroepitaxial liner layer 225 covers all sidewall surfaces as well as the bottom surface of the recessed regions 214. Preferably, this heteroepitaxial liner layer 225 is substantially uniformly deposited over the exposed silicon in the recessed regions 214. The heteroepitaxial silicon-containing material of the heteroepitaxial liner layer 225 is can be deposited at a temperature in a range of about 350° C. to 1000° C., and preferably in a range of about 400° C. to 800° C. In another embodiment, the epitaxial silicon-containing material is deposited at a temperature in a range of about 400° C. to 750° C., and preferably in a range of about 450° C. to 650° C. According to another embodiment, the heteroepitaxial liner layer 225 may be a graded layer having a strain-inducing impurity concentration that reduces away from the bottom and side surfaces of the recessed regions 214. Grading may be discrete or continuous.

The remaining portions of the recessed regions 214 are then filled with a filler 260, as illustrated in FIG. 8, until a target thickness over the recessed source/drain regions 214 is achieved. The filler 260 includes an epitaxial material having a lower concentration of the impurity, such as Ge or C, that introduces strain into the heteroepitaxial liner layer 225. According to an embodiment, the filler 260 includes silicon. In the illustrated embodiment shown in FIG. 8, the filler 260 fills the recess between the insulator 210 and the channel region 217 such that the upper surface of the filler 260 is substantially coplanar with the upper surface of the insulator 210. However, the skilled artisan will readily appreciate that this target thickness can also be below or above the top surface of the insulator 210. The skilled artisan will appreciate that the filled recessed source/drain regions 214, which are filled with a stressor formed by the heteroepitaxial liner 225 and the reduced strain filler 260, are more stable than a conventional stressor with a uniform silicon alloy because the stressor has a reduced overall concentration of the strain-inducing impurity material, such as Ge or C. The structure still provides a high level of strain at the edge of the channel 217, which is desirable. For example, for a heteroepitaxial liner layer 225 comprising SiGe, the Ge content is typically between 20 atomic % and 50 atomic %, and the Ge content for the filler 260 is preferably less than about 20 atomic % or less. For a Si:C liner, the C content is typically between 0.5 atomic % and 4 atomic %, and the C content for the filler 260 is preferably less than about 1 atomic % and lower than the liner layer 225. An optional cap layer 250 may be deposited, preferably by selective deposition techniques, over the filled source/drain regions 214, as shown in FIG. 8. In one embodiment, the cap layer 250 can be formed of Si, SiGe, SiGe:C, or Si:C. The cap layer 250 preferably has a lower impurity concentration than the liner layer of epitaxial material 225.

Retrograded Stressor with Maximum Strain at Recess Sidewalls

FIG. 9 illustrates that a substrate having recesses is provided in operational block 400. As indicated by operational block 410, the single-crystal surfaces of the substrate's recesses are lined with a heteroepitaxial strained liner. After lining the recesses, a redistribution anneal is performed to form facets in the lower corners of the recess, as indicated by operational block 420. The recess is then filled with a material having reduced strain compared to the strained liner, as indicated by operational block 430.

The liner layer may be annealed to redistribute the epitaxial liner layer material such that the material migrates to corners at the sidewalls of the recesses. Typically, such an anneal causes the epitaxial material to be tapered, having a faceted side cross-sectional shape. The annealed epitaxial material is generally wider at the bottoms of the recesses than at the tops. The annealed epitaxial material, which preferably covers substantially all sidewall surface of the recesses, exerts a lateral strain on the adjacent transistor channel.

FIGS. 10-11 illustrate the method of FIG. 9. After the liner layer 225 is deposited in the structure shown in FIG. 7, whether by selective deposition techniques or cyclical blanket deposition/selective etching or non-selective deposition and patterning, the substrate 200 is then annealed by heating it to between about 600° C. and 1100° C. In one embodiment, the substrate is annealed at a temperature between about 650° C. and 900° C. In another embodiment, the anneal temperature is between about 725° C. and 775° C. The skilled artisan can readily determine an appropriate anneal duration, depending on the selected temperatures, to achieve the desired redistribution. While illustrated with the recess 214 completely defined within semiconductor material, such that the wedge-shaped hetero-epitaxial material 230 forms an annulus, the skilled artisan will appreciate that one or more sidewall surfaces can be defined by field isolation material, as illustrated for the embodiment of FIGS. 2-5D. As noted with respect to the previously described embodiments, the sidewalls of the recessed regions 214 can be defined by the etch that forms them, by subsequent cleaning or rounding steps, or additional lining layers (not shown), such as a thin epitaxial layer.

As a result of the annealing process, the silicon and dopant atoms in the liner layer 225, shown in FIG. 7, migrate and the material redistribution causes the annealed heteroepitaxial material 230 to have a faceted side cross-sectional shape, as shown in FIG. 10. From a crystallographic perspective, the faceted heteroepitaxial material 230 is the equivalent of a crystal facet on both sides of the channel region 217 underlying the gate electrode 215. As illustrated in FIG. 10, the faceted heteroepitaxial material 230 is a substantially tapered layer along the sidewall of the recessed region 214.

This faceted epitaxial material 230 is also dislocation free and strained, but has a higher alloy content than the epitaxial liner 225 of FIG. 7 prior to annealing. As illustrated, the faceted epitaxial material 230 is located adjacent the channel 217 under the gate electrode structure 215, and lines or covers substantially all of at least the recess 214 sidewall next to the channel and preferably all of the single-crystal sidewall surfaces of the recessed regions 214. Thus, the strained faceted heteroepitaxial material 230 exerts a strain on the channel region 217 underlying the gate electrode structure 215.

In the illustrated embodiment, some of the epitaxial material of the original liner 225 remains on the bottom surfaces of the recessed regions 214 after annealing. As shown in FIG. 10, the annealed epitaxial material of the bottom liner 280 is thinned, and may have an uneven surface, and may also be discontinuous with the wedge-shaped sidewall-covering hetero-epitaxial material 230. Discontinuity of the bottom coverage can reduce strain at the bottom of the recess without any effect at the top of the recess adjacent the surface of the channel. Although the annealed epitaxial material of the bottom liner 280 is isolated from the faceted heteroepitaxial material 230 in the illustrated embodiment, it will be understood that, in other embodiments (not shown), the annealed epitaxial material of the bottom liner will not be isolated from the faceted epitaxial material covering the sidewall surfaces. The isolation, or lack thereof, may be achieved by adjusting the deposition time or by the addition of a post-epitaxial deposition chemical etch step, for example, in situ post-epitaxial deposition HCl etch.

The remaining portions of the recessed regions 214 are then filled with a filler 260, as illustrated in FIG. 11. The filler 260 has a lower strain-inducing impurity concentration than the faceted heteroepitaxial material 230. This filler layer 260 can be grown to be substantially coplanar with the top surface of the substrate 200, as shown in FIG. 11, or below or above the top surface of the substrate 200 in other embodiments. In the case of a silicon <100> substrate, the facet angle at the interface between the faceted heteroepitaxial material 230 and the filler 260 is in a range of about 25°-55°, relative to the [001] horizontal plane at the bottom of the recessed region 214. According to another embodiment, the facet angle is in a range of about 11°-72°. It will be understood that the interface between the faceted heteroepitaxial material 230 and the filler 260 may have some curvature, and that the overall stressor 230, 260 within the recessed region 214 is retrograded in the sense that there is higher strain, or higher impurity concentration, at the sidewalls and lower strain, or lower impurity concentration, in the center of the recessed region 214. Indeed, the filler 260 can be formed of Si without any strain-inducing impurity, including only electrical dopants for conductivity. An optional cap layer (not shown) may be formed over the filler 260. FIG. 12 is a micrograph showing a faceted SiGe liner layer formed using the method illustrated in FIG. 9. The filler 260 (FIG. 11) is labeled as a “Si cap” in the micrograph, and polysilicon growth is shown over the gate electrode, indicating a non-selective deposition was used for this example.

It will be understood that because the volume of the more highly strained epitaxial silicon-containing material 280, 230 is dramatically decreased by use of a thin lining layer rather than completely filling the recess with the highly strained material, the critical thickness constraint is relaxed and a substantial gain in strain engineering and thermal budget results. The impurity content of the epitaxial silicon-containing material 280, 230 can be adjusted, resulting in a different amount of strain produced. The process temperature can be increased significantly, leading to a significant increase in growth rate.

While the foregoing detailed description discloses several embodiments of the present invention, it should be understood that this disclosure is illustrative only and is not limiting of the present invention. It should be appreciated that the specific configurations and operations disclosed can differ from those described above, and that the methods described herein can be used in contexts other than fabrication of semiconductor devices.

Thomas, Shawn, Tomasini, Pierre

Patent Priority Assignee Title
10008600, Jul 22 2014 Samsung Electronics Co., Ltd. Semiconductor device having silicon-germanium source/drain regions with varying germanium concentrations
10096710, Nov 15 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained structures of semiconductor devices
10164030, Sep 23 2014 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
10516048, Jan 15 2014 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of semiconductor device
11411098, Jan 19 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Devices with strained source/drain structures and method of forming the same
8269255, Mar 12 2010 Samsung Electronics Co., Ltd. Semiconductor device having field effect transistor and method for fabricating the same
8330225, Apr 21 2009 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
8361847, Jan 19 2011 GLOBALFOUNDRIES U S INC Stressed channel FET with source/drain buffers
8367528, Nov 17 2009 ASM IP HOLDING B V Cyclical epitaxial deposition and etch
8426926, Apr 06 2010 Samsung Electronics Co., Ltd. Semiconductor devices having field effect transistors with epitaxial patterns in recessed regions
8455317, Mar 12 2010 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device having field effect transistor
8502301, Nov 16 2007 Pannova Semic, LLC Semiconductor device and method for fabricating the same
8648424, Dec 26 2011 Samsung Electronics Co., Ltd. Semiconductor device including transistors having embedded source/drain regions each including upper and lower main layers comprising germanium
8742503, Oct 31 2011 GLOBALFOUNDRIES U S INC Recessed single crystalline source and drain for semiconductor-on-insulator devices
8809170, May 19 2011 ASM IP HOLDING B V High throughput cyclical epitaxial deposition and etch process
8921939, Jan 19 2011 GLOBALFOUNDRIES U S INC Stressed channel FET with source/drain buffers
9054126, Oct 31 2011 GLOBALFOUNDRIES U S INC Recessed single crystalline source and drain for semiconductor-on-insulator devices
9099423, Jul 12 2013 ASM IP Holding B.V. Doped semiconductor films and processing
9190471, Apr 13 2012 ALSEPHINA INNOVATIONS INC Semiconductor structure having a source and a drain with reverse facets
9202915, Oct 30 2009 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
9312131, Jun 07 2006 ASM IP HOLDING B V Selective epitaxial formation of semiconductive films
9502420, Dec 19 2015 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
9577097, Dec 08 2014 Samsung Electronics Co., Ltd. Semiconductor device having stressor and method of forming the same
9634140, Nov 10 2014 Samsung Electronics Co., Ltd.; SAMSUNG ELECTRONICS CO , LTD Fabricating metal source-drain stressor in a MOS device channel
9647118, Oct 30 2009 Taiwan Semiconductor Manaufacturing Company, Ltd. Device having EPI film in substrate trench
9673296, Apr 13 2012 ALSEPHINA INNOVATIONS INC Semiconductor structure having a source and a drain with reverse facets
9728644, May 14 2015 Samsung Electronics Co., Ltd. Semiconductor device including field effect transistors
9748388, Nov 15 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained structures of semiconductor devices
9761719, Jul 22 2014 Samsung Electronics Co., Ltd. Semiconductor device having silicon-germanium source/drain regions with varying germanium concentrations
9911826, Jan 19 2011 Taiwan Semiconductor Manufacturing Company, Ltd. Devices with strained source/drain structures
9997616, Mar 02 2012 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
Patent Priority Assignee Title
4058430, Nov 29 1974 PLANAR INTERNATIONAL OY A CORP OF FINLAND Method for producing compound thin films
4210925, Feb 07 1978 Harris Corporation I2 L Integrated circuit and process of fabrication
4413022, Feb 28 1979 PLANAR INTERNATIONAL OY A CORP OF FINLAND Method for performing growth of compound thin films
4578142, May 10 1984 Fairchild Semiconductor Corporation Method for growing monocrystalline silicon through mask layer
4698316, Jan 23 1985 Intersil Corporation Method of depositing uniformly thick selective epitaxial silicon
4704186, Feb 19 1986 RCA Corporation Recessed oxide method for making a silicon-on-insulator substrate
4710241, Jan 17 1985 Kabushiki Kaisha Toshiba Method of making a bipolar semiconductor device
4728623, Oct 03 1986 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
4735918, May 24 1985 Hughes Electronics Corporation Vertical channel field effect transistor
4747367, Jun 12 1986 CRYSTAL SPECIALTIES, INC , A CORP OF CA Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
4749440, Aug 28 1985 FSI International, Inc Gaseous process and apparatus for removing films from substrates
4749441, Dec 11 1986 General Motors Corporation Semiconductor mushroom structure fabrication
4758531, Oct 23 1987 International Business Machines Corporation Method of making defect free silicon islands using SEG
4761269, Jun 12 1986 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
4778775, Aug 26 1985 Intel Corporation Buried interconnect for silicon on insulator structure
4786615, Aug 31 1987 Freescale Semiconductor, Inc Method for improved surface planarity in selective epitaxial silicon
4793872, Mar 07 1986 Thomson-CSF III-V Compound heteroepitaxial 3-D semiconductor structures utilizing superlattices
4834809, Nov 19 1984 Sharp Kabushiki Kaisha Three dimensional semiconductor on insulator substrate
4857479, Oct 08 1985 Freescale Semiconductor, Inc Method of making poly-sidewall contact transistors
4870030, Sep 24 1987 RESEARCH TRIANGLE INSTITUTE, INC Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
4873205, Dec 21 1987 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
4891092, Jan 13 1986 General Electric Company Method for making a silicon-on-insulator substrate
4897366, Jan 18 1989 Intersil Corporation Method of making silicon-on-insulator islands
4923826, Aug 02 1989 Intersil Corporation Method for forming dielectrically isolated transistor
4966861, Oct 08 1986 Fujitsu Limited Vapor deposition method for simultaneously growing an epitaxial silicon layer and a polycrystalline silicone layer over a selectively oxidized silicon substrate
4981811, Apr 12 1990 AT&T Bell Laboratories; AMERICAN TELEPHONE AND TELEGRAPH COMPANY, A CORP OF NY Process for fabricating low defect polysilicon
5004705, Jan 06 1989 MICRO USPD, INC Inverted epitaxial process
5011789, Sep 06 1985 NXP B V Method of manufacturing a semiconductor device
5028973, Jun 19 1989 Intersil Corporation Bipolar transistor with high efficient emitter
5037775, Nov 30 1988 MCNC, A CORP OF NC Method for selectively depositing single elemental semiconductor material on substrates
5045494, May 10 1989 SAMSUNG ELECTRONICS CO , LTD Method for manufacturing a DRAM using selective epitaxial growth on a contact
5059544, Jul 14 1988 International Business Machines Corp.; International Business Machines Corporation Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
5061644, Dec 22 1988 SAMSUNG ELECTRONICS CO , LTD Method for fabricating self-aligned semiconductor devices
5061655, Feb 16 1990 MITSUBISHI DENKI KABUSHIKI KAISHA, 2-3, MARUNOUCHI 2-CHOME, CHIYODA-KU, TOKYO, JAPAN Method of producing SOI structures
5071670, Jun 11 1990 Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
5112439, Nov 30 1988 MCNC Research and Development Institute Method for selectively depositing material on substrates
5129958, Sep 22 1989 Applied Materials, Inc. Cleaning method for semiconductor wafer processing apparatus
5144376, Aug 21 1990 Samsung Electronics Co., Ltd. Compound semiconductor device
5146304, Dec 22 1988 SAMSUNG ELECTRONICS CO , LTD Self-aligned semiconductor device
5148604, May 22 1990 Robert Bosch GmbH Micromechanical tilt sensor
5158644, Dec 19 1986 Applied Materials, Inc. Reactor chamber self-cleaning process
5164813, Jun 24 1988 UNITRODE CORPORATION A CORP OF MD New diode structure
5175121, May 10 1989 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
5182619, Sep 03 1991 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
5201995, Mar 16 1992 MCNC Alternating cyclic pressure modulation process for selective area deposition
5211796, Jan 08 1990 Bell Semiconductor, LLC Apparatus for performing in-situ etch of CVD chamber
5234857, Mar 23 1991 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
5236546, Jan 26 1987 Canon Kabushiki Kaisha Process for producing crystal article
5252841, May 09 1991 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
5269876, Jan 26 1987 Canon Kabushiki Kaisha Process for producing crystal article
5282926, Oct 25 1990 Robert Bosch GmbH Method of anisotropically etching monocrystalline, disk-shaped wafers
5285089, Dec 02 1992 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
5306666, Jul 24 1992 Tokyo Electron Limited Process for forming a thin metal film by chemical vapor deposition
5319220, Jan 20 1988 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
5323032, Sep 05 1991 NEC Electronics Corporation Dual layer epitaxtial base heterojunction bipolar transistor
5324679, Mar 23 1991 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having increased surface area conductive layer
5326992, Jul 29 1992 The United States of America as represented by the Secretary of the Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
5356510, Oct 08 1991 Remote Access, LLC Method for the growing of heteroepitaxial layers
5373806, May 20 1985 Applied Materials, Inc. Particulate-free epitaxial process
5378901, Dec 24 1991 ROHM CO , LTD Heterojunction bipolar transistor and method for producing the same
5380370, Apr 30 1993 Tokyo Electron Limited Method of cleaning reaction tube
5403434, Jan 06 1994 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
5403751, Nov 29 1990 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
5416354, Jan 06 1989 Unitrode Corporation Inverted epitaxial process semiconductor devices
5421957, Jul 30 1993 Applied Materials, Inc Low temperature etching in cold-wall CVD systems
5422302, Jun 30 1986 Method for producing a three-dimensional semiconductor device
5422502, Dec 09 1993 STMICROELECTRONICS N V Lateral bipolar transistor
5425842, Jun 09 1992 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
5470799, Apr 28 1988 Mitsubishi Denki Kabushiki Kaisha Method for pretreating semiconductor substrate by photochemically removing native oxide
5496745, Dec 19 1994 Electronics and Telecommunications Research Institute; Korea Telecommunications Authority Method for making bipolar transistor having an enhanced trench isolation
5508536, Apr 07 1993 Sharp Kabushiki Kaisha Heterojunction bipolar transistor having low electron and hole concentrations in the emitter-base junction region
5512772, Jun 06 1990 Kabushiki Kaisha Toshiba Semiconductor device having bipolar transistor and MOS transistor
5517943, Dec 16 1993 Mitsubishi Denki Kabushiki Kaisha Vacuum CVD apparatus
5557117, May 12 1993 Nippon Telegraph and Telephone Corporation Heterojunction bipolar transistor and integrated circuit device using the same
5557118, Dec 20 1993 NEC Electronics Corporation Hetero-junction type bipolar transistor
5563085, Mar 01 1993 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
5591492, Apr 11 1986 Canon Kabushiki Kaisha Process for forming and etching a film to effect specific crystal growth from activated species
5609721, Mar 11 1994 Fujitsu Semiconductor Limited Semiconductor device manufacturing apparatus and its cleaning method
5670801, Mar 01 1995 Mitsubishi Denki Kabushiki Kaisha Heterojunction bipolar transistor
5674781, Jun 06 1995 GLOBALFOUNDRIES Inc Landing pad technology doubled up as a local interconnect and borderless contact for deep sub-half micrometer IC application
5693147, Nov 03 1995 Freescale Semiconductor, Inc Method for cleaning a process chamber
5711811, Nov 28 1994 ASM INTERNATIONAL N V Method and equipment for growing thin films
5729033, Jun 06 1995 Hughes Electronics Corporation Fully self-aligned submicron heterojunction bipolar transistor
5766999, Mar 28 1995 NEC Electronics Corporation Method for making self-aligned bipolar transistor
5769950, Jul 23 1985 Canon Kabushiki Kaisha Device for forming deposited film
5783495, Nov 13 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of wafer cleaning, and system and cleaning solution regarding same
5798278, Oct 31 1994 SGS-Thomson Microelectronics, Inc. Method of forming raised source/drain regions in an integrated circuit
5831335, Jan 31 1995 Sony Corporation Semiconductor device contains refractory metal or metal silicide with less than 1% weight of halogen atom
5859447, May 09 1997 Heterojunction bipolar transistor having heterostructure ballasting emitter
5879459, Aug 29 1997 EUGENUS, INC Vertically-stacked process reactor and cluster tool system for atomic layer deposition
5899752, Jul 30 1993 Applied Materials, Inc Method for in-situ cleaning of native oxide from silicon surfaces
5904565, Jul 17 1997 Sharp Laboratories of America, Inc Low resistance contact between integrated circuit metal levels and method for same
5916365, Aug 16 1996 ASM INTERNATIONAL N V Sequential chemical vapor deposition
5926743, Feb 25 1997 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
5933761, Feb 09 1998 Dual damascene structure and its manufacturing method
5967794, Jul 31 1996 NEC Electronics Corporation Method for fabricating a field effect transistor having elevated source/drain regions
5986287, Sep 08 1995 Max-Planck-Gesellschaft zur Foerderung der Wissenschaften e. V. Semiconductor structure for a transistor
5998305, Mar 29 1996 Praxair Technology, Inc. Removal of carbon from substrate surfaces
6031255, May 30 1997 Thomson-CSF Bipolar transistor stabilized with electrical insulating elements
6037258, May 07 1999 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
6042654, Jan 13 1998 Applied Materials, Inc Method of cleaning CVD cold-wall chamber and exhaust lines
6043519, Sep 12 1996 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
6048790, Jul 10 1998 GLOBALFOUNDRIES Inc Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
6049098, Apr 27 1995 NEC Electronics Corporation Bipolar transistor having an emitter region formed of silicon carbide
6057200, Oct 16 1995 CONVERSANT INTELLECTUAL PROPERTY MANAGEMENT INC Method of making a field effect transistor having an elevated source and an elevated drain
6058945, May 28 1996 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
6060397, Jul 14 1995 Applied Materials, Inc Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
6069068, May 30 1997 GLOBALFOUNDRIES Inc Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
6074478, Jan 24 1997 NEC Electronics Corporation Method of facet free selective silicon epitaxy
6077775, Aug 20 1998 NAVY, UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF, THE Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
6083818, Aug 20 1998 The United States of America as represented by the Secretary of the Navy Electronic devices with strontium barrier film and process for making same
6093368, Nov 04 1992 C. A. Patents, L.L.C. Plural layered metal repair tape
6100184, Aug 20 1997 AVAGO TECHNOLOGIES GENERAL IP SINGAPORE PTE LTD Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
6139700, Oct 01 1997 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
6146517, May 19 1999 Infineon Technologies AG Integrated circuits with copper metallization for interconnections
6153010, Apr 11 1997 Nichia Corporation Method of growing nitride semiconductors, nitride semiconductor substrate and nitride semiconductor device
6164295, May 01 1996 Kabushiki Kaisha Toshiba CVD apparatus with high throughput and cleaning method therefor
6181012, Apr 27 1998 GLOBALFOUNDRIES Inc Copper interconnection structure incorporating a metal seed layer
6184128, Jan 31 2000 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
6188134, Aug 20 1998 The United States of America as represented by the Secretary of the Navy Electronic devices with rubidium barrier film and process for making same
6190453, Jul 14 1999 SEH America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
6190976, Nov 26 1997 Renesas Electronics Corporation Fabrication method of semiconductor device using selective epitaxial growth
6200893, Mar 11 1999 AIXTRON, INC Radical-assisted sequential CVD
6203613, Oct 19 1999 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
6207487, Oct 13 1998 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
6207567, Apr 12 1999 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
6221168, Jun 16 1998 FSI International, Inc HF/IPA based process for removing undesired oxides form a substrate
6225213, Jan 23 1997 NEC Electronics Corporation Manufacturing method for contact hole
6225650, Mar 25 1997 Mitsubishi Chemical Corporation GAN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
6235568, Jan 22 1999 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
6270572, Aug 07 1998 SAMSUNG ELECTRONICS CO , LTD Method for manufacturing thin film using atomic layer deposition
6287965, Jul 28 1997 SAMSUNG ELECTRONICS, CO , LTD Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
6291876, Aug 20 1998 The United States of America as represented by the Secretary of the Navy Electronic devices with composite atomic barrier film and process for making same
6303523, Feb 11 1998 Applied Materials, Inc Plasma processes for depositing low dielectric constant films
6316795, Apr 03 2000 HRL Laboratories, LLC Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
6335251, May 29 1998 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
6340619, Dec 26 1996 LG Semicon Co., Ltd. Capacitor and method of fabricating the same
6342448, May 31 2000 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
6343183, Sep 01 1995 ASM America, Inc. Wafer support system
6348096, Mar 13 1997 NEC Corporation Method for manufacturing group III-V compound semiconductors
6348098, Jan 20 1999 Entegris, Inc Flow controller
6351039, May 28 1967 Texas Instruments Incorporated Integrated circuit dielectric and method
6358829, Sep 17 1998 Samsung Electronics Company., Ltd.; SAMSUNG ELECTRONICS CO , LTD Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
6368954, Jul 28 2000 AIXTRON, INC Method of copper interconnect formation using atomic layer copper deposition
6376318, Jun 30 1999 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
6380065, Nov 11 1998 Sony Corporation Interconnection structure and fabrication process therefor
6391785, Aug 24 1999 INTERUNIVERSITAIR MICROELECTRONICA CENTRUM VZW IMEC ; ASM International NV Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
6391796, Sep 14 1998 Shin-Etsu Handotai Co., Ltd. Method for heat-treating silicon wafer and silicon wafer
6444495, Jan 11 2001 Honeywell International Inc Dielectric films for narrow gap-fill applications
6454854, Oct 29 1998 Shin-Etsu Handotai Co., Ltd. Semiconductor wafer and production method therefor
6482733, May 15 2000 ASM INTERNATIONAL N V Protective layers prior to alternating layer deposition
6555839, May 26 2000 Taiwan Semiconductor Manufacturing Company, Ltd Buried channel strained silicon FET using a supply layer created through ion implantation
6555845, Mar 13 1997 NEC Corporation Method for manufacturing group III-V compound semiconductors
6566279, Feb 01 2001 TOHOKU UNIVERSITY Method for fabricating a SiC film and a method for fabricating a SiC multi-layered film structure
6583015, Aug 07 2000 Taiwan Semiconductor Manufacturing Company, Ltd Gate technology for strained surface channel and strained buried channel MOSFET devices
6593191, May 26 2000 Taiwan Semiconductor Manufacturing Company, Ltd Buried channel strained silicon FET using a supply layer created through ion implantation
6593211, Sep 04 1998 Canon Kabushiki Kaisha Semiconductor substrate and method for producing the same
6657223, Oct 29 2002 GLOBALFOUNDRIES Inc Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
6727169, Oct 15 1999 ASM INTERNATIONAL N V Method of making conformal lining layers for damascene metallization
6821825, Feb 12 2001 ASM IP HOLDING B V Process for deposition of semiconductor films
6881633, Dec 27 2000 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with an L-shaped/reversed L-shaped gate side-wall insulating film
6900115, Feb 12 2001 ASM IP HOLDING B V Deposition over mixed substrates
6969875, May 26 2000 Taiwan Semiconductor Manufacturing Company, Ltd Buried channel strained silicon FET using a supply layer created through ion implantation
6974730, Dec 17 2003 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
6998305, Jan 24 2003 ASM IP HOLDING B V Enhanced selectivity for epitaxial deposition
7108748, May 30 2001 ASM IP HOLDING B V Low temperature load and bake
7176481, Jan 12 2005 Microsoft Technology Licensing, LLC In situ doped embedded sige extension and source/drain for enhanced PFET performance
7312128, Dec 01 2004 Applied Materials, Inc Selective epitaxy process with alternating gas supply
7335959, Jan 06 2005 Intel Corporation Device with stepped source/drain region profile
7405131, Jul 16 2005 GLOBALFOUNDRIES Inc Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
20010001742,
20010034123,
20010046766,
20020023520,
20020168868,
20030036268,
20030082300,
20040171238,
20040224089,
20040262694,
20050079692,
20050250298,
20060011984,
20060014366,
20060115933,
20060166414,
20060169668,
20060169669,
20060205194,
20060228842,
20060234504,
20060240630,
20060289900,
20070287272,
20090075447,
DE19820147,
WO11721,
WO13207,
WO15866,
WO15881,
WO16377,
WO22659,
WO55895,
WO75964,
WO79576,
WO115220,
WO136702,
WO145149,
WO166832,
WO178123,
WO178124,
WO199166,
WO9617107,
WO9941423,
WO9962109,
////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Sep 14 2007TOMASINI, PIERREASM America, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0198650516 pdf
Sep 18 2007THOMAS, SHAWNASM America, IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0198650516 pdf
Sep 19 2007ASM America, Inc.(assignment on the face of the patent)
Dec 15 2020ASM America, IncASM IP HOLDING B V ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0564650280 pdf
Date Maintenance Fee Events
Jul 03 2013ASPN: Payor Number Assigned.
Dec 27 2013M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jan 04 2018M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Dec 15 2021M1553: Payment of Maintenance Fee, 12th Year, Large Entity.


Date Maintenance Schedule
Jul 20 20134 years fee payment window open
Jan 20 20146 months grace period start (w surcharge)
Jul 20 2014patent expiry (for year 4)
Jul 20 20162 years to revive unintentionally abandoned end. (for year 4)
Jul 20 20178 years fee payment window open
Jan 20 20186 months grace period start (w surcharge)
Jul 20 2018patent expiry (for year 8)
Jul 20 20202 years to revive unintentionally abandoned end. (for year 8)
Jul 20 202112 years fee payment window open
Jan 20 20226 months grace period start (w surcharge)
Jul 20 2022patent expiry (for year 12)
Jul 20 20242 years to revive unintentionally abandoned end. (for year 12)