During the processing of substrates, the substrate surface may be subjected to a cleaning process using supercritical CO2. surface matter may remain, for example, because it is only minimally soluble in the supercritical CO2. For example, an oxidation cleaning process causes the substrate structure to cleave at several points leaving smaller fragments of oxidized residue behind. This residue has only minimal solubility in supercritical CO2 due to the polar constituents resulting from oxidation. The method thus further includes processing the substrate with supercritical CO2 and a functionalizing agent that can react with the smaller fragments and/or other less soluble components. These functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors.

Patent
   7789971
Priority
May 13 2005
Filed
May 13 2005
Issued
Sep 07 2010
Expiry
Nov 09 2026
Extension
545 days
Assg.orig
Entity
Large
4
478
EXPIRED
1. A method of processing a substrate comprising:
positioning the substrate on a substrate holder in a processing chamber;
performing a cleaning process, wherein the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry, and wherein the cleaning process is effective to at least partially oxidize a surface of the substrate and to remove at least a portion of the oxidized surface, while another portion remains as small fragments of oxidized residue; and
performing a treatment process after the cleaning process, wherein the substrate is treated using a second supercritical fluid consisting of supercritical CO2 and benzyl chloride as a functionalizing agent to react with and functionalize the small fragments of oxidized residue and render the functionalized small fragments more soluble in supercritical CO2 to facilitate removal of the small fragments of oxidized residue in the second supercritical fluid.
17. A method of operating a controller in a processing system configured to process a substrate, the method comprising the steps of:
instructing the processing system to position a substrate on a substrate holder in a processing chamber;
instructing the processing system to perform a cleaning process, wherein the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry, and wherein the cleaning process is effective to at least partially oxidize a surface of the substrate and to remove at least a portion of the oxidized surface, while another portion remains as small fragments of oxidized residue; and
instructing the processing system to performing a treatment process, wherein the substrate is treated using a second supercritical fluid consisting of supercritical CO2 and benzyl chloride as a functionalizing agent to react with and functionalize the small fragments of oxidized residue and render the functionalized small fragments more soluble in supercritical CO2 to facilitate removal of the small fragments of oxidized residue in the second supercritical fluid.
2. The method of claim 1, wherein the substrate comprises semiconductor material, metallic material, dielectric material, or ceramic material, or a combination of two or more thereof.
3. The method of claim 2, wherein the substrate comprises a patterned dielectric material comprising a low-k material, or ultra low-k material, or a combination thereof.
4. The method of claim 1, wherein the cleaning chemistry comprises an acid and a solvent.
5. The method of claim 4, wherein the acid comprises an organic acid.
6. The method of claim 4, wherein the solvent comprises an alcohol or a ketone, or a combination thereof.
7. The method of claim 1, further comprising performing a rinsing process after the treatment process, wherein the substrate is rinsed using a third supercritical fluid comprising supercritical CO2 and a rinsing chemistry, wherein the rinsing chemistry comprises an alcohol.
8. The method of claim 7, wherein the alcohol comprises ethanol, methanol, 1-propanol, or isopropanol, or a combination thereof.
9. The method of claim 7, wherein the alcohol comprises isopropyl alcohol.
10. The method of claim 7, wherein the performing a rinsing process further comprises:
pressurizing the processing chamber to a first rinsing pressure;
introducing the third supercritical fluid into the processing chamber; and
recirculating the third supercritical fluid through the processing chamber.
11. The method of claim 1, wherein the performing a treatment process comprises:
introducing the second supercritical fluid into the processing chamber; and
recirculating the second supercritical fluid through the processing chamber for a first period of time.
12. The method of claim 11, wherein the first period of time is in a range of thirty seconds to ten minutes.
13. The method of claim 11, wherein the performing a treatment process further comprises performing a push-through process wherein the processing chamber is pressurized to an elevated pressure and vented to push the second supercritical fluid out of the processing chamber after recirculating the second supercritical fluid.
14. The method of claim 13, wherein the elevated pressure is above approximately 13780 kilo-Pascal (2000 psi).
15. The method of claim 13, wherein the performing a treatment process further comprises performing a series of decompression cycles.
16. The method of claim 1, wherein the performing a cleaning process further comprises:
pressurizing the processing chamber to a first cleaning pressure;
introducing the first supercritical fluid into the processing chamber; and
recirculating the first supercritical fluid through the processing chamber.

This patent application is related to commonly owned co-pending U.S. patent application Ser. No. 10/908,396, filed May 10, 2005 entitled “Removal of Particles from Substrate Surfaces Using Supercritical Processing,” which is hereby incorporated by reference in its entirety.

This invention relates to the field of processing substrates using supercritical carbon dioxide. More particularly, the present invention relates to the field of processing porous low-k dielectric materials using supercritical carbon dioxide processes.

Carbon dioxide (CO2) is an environmentally friendly, naturally abundant, non-polar molecule. Being non-polar, CO2 has the capacity to dissolve a variety of non-polar materials or contaminates. The degree to which the contaminants found in non-polar CO2 are soluble is dependant on the physical state of the CO2. The four phases of CO2 are solid, liquid, gas, and supercritical. The four phases or states are differentiated by appropriate combinations of specific pressures and temperatures. CO2 in a supercritical state (sc-CO2) is neither liquid nor gas but embodies properties of both. In addition, sc-CO2 lacks any meaningful surface tension while interacting with solid surfaces, and hence, can readily penetrate high aspect ratio geometrical features more readily than liquid CO2. Moreover, because of its low viscosity and liquid-like characteristics, the sc-CO2 can easily dissolve large quantities of many other chemicals. It has been shown that as the temperature and pressure are increased into the supercritical phase, the solubility of CO2 also increases. This increase in solubility has lead to the development of a number of sc-CO2 cleaning processes.

One problem in semiconductor manufacturing is that the cleaning process sometimes does not completely remove photoresist residue and other residues and contaminants on the surface of the wafer. For example, during some cleaning processes, oxidized residues can be created that adversely affect the cleaning process. It would be advantageous during the cleaning process to be able to remove both oxidized and non-oxidized residues and/or contaminants from the surface features on the wafer surface.

What is needed is an improved method for removing oxidized and/or partially oxidized residues from substrate surfaces.

In accordance with the present invention, a method of processing a substrate is provided, the method comprising positioning the substrate on a substrate holder in a processing chamber; performing a cleaning process using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry; and thereafter performing a treatment process using a second supercritical fluid comprising supercritical CO2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, or an acyl alcohol, or a combination thereof.

A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which:

FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention;

FIG. 2 illustrates an exemplary graph of pressure versus time for a supercritical process step in accordance with an embodiment of the invention; and

FIG. 3 illustrates a flow chart of a method of performing a supercritical residue removal process on a substrate in accordance with embodiments of the present invention.

The present invention is directed to processing substrates using supercritical carbon dioxide. In one embodiment, the substrate includes a patterned low-k and/or ultra low-k material thereon. In accordance with the method of the present invention, the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry. For example, the cleaning chemistry may comprise an acid and a solvent, such as an organic acid and an alcohol or ketone solvent. In one embodiment, the cleaning process can be an oxidation process and/or an etching process effective to oxidize or partially oxidize the substrate surface, such as the patterned dielectric material, and can remove at least a portion thereof, but some small fragments of oxidized residue are only minimally soluble in supercritical CO2, and may thus be left behind.

After the cleaning process, and in further accordance with the present invention, the substrate is treated using a second supercritical fluid comprising supercritical CO2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, and/or an acyl alcohol. In one embodiment, the functionalizing agent is effective to render small fragments of oxidized residue more soluble in supercritical CO2 to thereby enable removal of the fragments during the treatment process.

Thus, the method of the present invention includes at least a cleaning process and a treatment process, wherein the treatment process includes a functionalizing agent effective to remove surface matter, such as particulate, contaminants, and/or residues, not removed during the cleaning process, such as by rendering the un-removed matter more soluble in the supercritical CO2. The invention should not be considered limited to only the cleaning and treatment steps. Other method steps may be performed prior to the cleaning process, after the treatment process, and/or between the cleaning and treatment processes. Further, individual steps and/or combinations of steps may be repeated any desired number of times.

The present invention will now be explained further by reference to the Figures. FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention. In the illustrated embodiment, processing system 100 comprises a process module 110 including a process chamber 108 for processing a substrate 105, a recirculation system 120, a process chemistry supply system 130, a high-pressure fluid supply system 140, a pressure control system 150, an exhaust system 160, and a controller 180. The processing system 100 can operate at pressures that can range from 1000 psi to 10,000 psi. In addition, the processing system 100 can operate at temperatures that can range from 40 to 300 degrees Celsius.

The details concerning one example of a processing chamber are disclosed in co-owned and co-pending U.S. patent application Ser. No. 09/912,844, entitled “High Pressure Processing Chamber for Semiconductor Substrate,” filed Jul. 24, 2001; U.S. patent application Ser. No. 09/970,309, entitled “High Pressure Processing Chamber for Multiple Semiconductor Substrates,” filed Oct. 3, 2001; U.S. patent application Ser. No. 10/121,791, entitled “High Pressure Processing Chamber for Semiconductor Substrate Including Flow Enhancing Features,” filed Apr. 10, 2002; and U.S. patent application Ser. No. 10/364,284, entitled “High-Pressure Processing Chamber for a Semiconductor Wafer,” filed Feb. 10, 2003, the contents of which are incorporated herein by reference.

The controller 180 can be coupled to the process module 110, the recirculation system 120, the process chemistry supply system 130, the high-pressure fluid supply system 140, the pressure control system 150, and the exhaust system 160. Alternately, controller 180 can be coupled to one or more additional controllers/computers (not shown), and controller 180 can obtain setup, configuration, and/or recipe information from an additional controller/computer.

In FIG. 1, singular processing elements (110, 120, 130, 140, 150, 160, and 180) are shown, but this is not required for the invention. The semiconductor processing system 100 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.

The controller 180 can be used to configure any number of processing elements (110, 120, 130, 140, 150, and 160), and the controller 180 can collect, provide, process, store, and display data from processing elements. The controller 180 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 180 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.

The process module 110 can include a processing chamber 108 enclosed by an upper assembly 112 and a lower assembly 116, and the upper assembly 112 can be coupled to the lower assembly 116. In an alternate embodiment, a frame and or injection ring may be included and may be coupled to an upper assembly and a lower assembly. The upper assembly 112 can comprise a heater (not shown) for heating the processing chamber 108, a substrate 105 in the processing chamber 108, or the processing fluid, or a combination of two or more thereof. Alternately, a heater is not required in the upper assembly 112. In another embodiment, the lower assembly 116 can comprise a heater (not shown) for heating the processing chamber 108, the substrate 105, or the processing fluid, or a combination of two or more thereof. The process module 110 can include means for flowing a processing fluid through the processing chamber 108. In one example, a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established. Alternately, the means for flowing can be configured differently.

In one embodiment, the process module 110 can include a holder or chuck 118 for supporting and holding the substrate 105 while processing the substrate 105. The lower assembly 116 can comprise one or more lifters (not shown) for moving the chuck 118 and/or the substrate 105. Alternately, a lifter is not required. The holder or chuck 118 can also be configured to heat or cool the substrate 105 before, during, and/or after processing the substrate 105. Alternately, the process module 110 can include a platen for supporting and holding the substrate 105 while processing the substrate 105.

A transfer system (not shown) can be used to move a substrate 105 into and out of the processing chamber 108 through a slot (not shown). In one example, the slot can be opened and closed by moving the chuck 118, and in another example, the slot can be controlled using a gate valve.

The substrate 105 can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof. The semiconductor material can include Si, Ge, Si/Ge, or GaAs. The metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof. The dielectric material can include Si, O, N, or C, or combinations of two or more thereof. The ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof. In one embodiment, the substrate includes a patterned dielectric material, for example a low-k material or an ultra low-k material or combination thereof.

The recirculation system 120 can be coupled to the process module 110 using one or more inlet lines 122 and one or more outlet lines 124 to form a recirculation loop 115. The recirculation system 120 can comprise one or more valves (not shown) for regulating the flow of a supercritical processing solution through the recirculation system 120 and through the process module 110. The recirculation system 120 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical processing solution through the recirculation system 120 and through the processing chamber 108 in the process module 110. After introducing a fluid to the processing chamber 108, the fluid can be recirculated through the processing chamber 108 via recirculation loop 115, such as continuously for a desired period of time or discontinuously a desired number of times.

Processing system 100 can comprise a process chemistry supply system 130. In the illustrated embodiment, the process chemistry supply system is coupled to the recirculation system 120 using one or more lines 135, but this is not required for the invention. In alternate embodiments, the process chemical supply system 130 can be configured differently and can be coupled to different elements in the processing system 100. For example, the process chemistry supply system 130 can be coupled to the process module 110.

The process chemistry is introduced by the process chemistry supply system 130 into the fluid introduced by the high-pressure fluid supply system 140 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the processing chamber 108. The ratio can vary from approximately 0.001 to approximately 15 percent by volume. For example, when the recirculation loop 115 comprises a volume of about one liter, the process chemistry volumes can range from approximately ten microliters to approximately one hundred fifty milliliters. In alternate embodiments, the volume and/or the ratio may be higher or lower. In accordance with the present invention, the process chemistry supply system 130 may be configured to introduce, at a minimum, a cleaning chemistry and a functionalizing agent, as discussed further below.

The process chemistry supply system 130 can be configured to introduce one or more of the following process compositions, but not limited to: cleaning compositions for removing contaminants, residues, hardened residues, photoresist, hardened photoresist, post-etch residue, post-ash residue, post chemical-mechanical polishing (CMP) residue, post-polishing residue, or post-implant residue, or any combination thereof; cleaning compositions for removing particulate; drying compositions for drying thin films, porous thin films, porous low dielectric constant materials, or air-gap dielectrics, or any combination thereof; film-forming compositions for preparing dielectric thin films, metal thin films, or any combination thereof; healing compositions for restoring the dielectric constant of low dielectric constant (low-k) films; sealing compositions for sealing porous films; or any combination thereof. Additionally, the process chemistry supply system 130 can be configured to introduce solvents, surfactants, etchants, acids, bases, chelators, oxidizers, film-forming precursors, or reducing agents, or any combination thereof.

The process chemistry supply system 130 can be configured to introduce N-methyl pyrrolidone (NMP), diglycol amine, hydroxyl amine, di-isopropyl amine, tri-isopropyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF3, BF3, HF, other fluorine containing chemicals, or any mixture thereof. Other chemicals such as organic solvents may be utilized independently or in conjunction with the above chemicals to remove organic materials. The organic solvents may include, for example, an alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA). For further details, see U.S. Pat. No. 6,306,564B1, filed May 27, 1998, and titled “REMOVAL OF RESIST OR RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE”, and U.S. Pat. No. 6,509,141B2, filed Sep. 3, 1999, and titled “REMOVAL OF PHOTORESIST AND PHOTORESIST RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS,” both incorporated by reference herein.

The process chemistry supply system 130 can comprise post-treating chemistry assemblies (not shown) for introducing post-treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination, low dielectric constant films (porous or non-porous). The chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylamino-pentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxysilane (MTMOS), vinyltrimethoxysilane (VTMOS), or trimethylsilylimidazole (TMSI). Additionally, the chemistry may include N-tert-butyl-1,1-dimethyl-1-(2,3,4,5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine, 1,3-diphenyl-1,1,3,3-tetramethyldisilazane, or tert-butylchlorodiphenylsilane. For further details, see U.S. patent application Ser. No. 10/682,196, filed Oct. 10, 2003, and titled “Method and System for Treating a Dielectric Film,” and U.S. patent application Ser. No. 10/379,984, filed Mar. 4, 2003, and titled “Method of Passivating Low Dielectric Materials in Wafer Processing,” both incorporated by reference herein.

The process chemistry supply system 130 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the processing chamber 108. The rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols (such as methanol, ethanol, isopropanol and 1-propanol) and ketones. In one embodiment, the rinsing chemistry can comprise an alcohol and a carrier solvent. The process chemistry supply system 130 can comprise a drying chemistry assembly (not shown) for providing drying chemistry for generating supercritical drying solutions within the processing chamber 108.

In addition, the process chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol, isopropanol and 1-propanol).

Furthermore, the process chemistry can include solvents, co-solvents, surfactants, and/or other ingredients. Examples of solvents, co-solvents, and surfactants are disclosed in co-owned U.S. Pat. No. 6,500,605, entitled “REMOVAL OF PHOTORESIST AND RESIDUE FROM SUBSTRATE USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, issued Dec. 31, 2002, and U.S. Pat. No. 6,277,753, entitled “REMOVAL OF CMP RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS”, issued Aug. 21, 2001, both of which are incorporated by reference herein.

Moreover, the process chemistry supply system 130 can be configured to introduce a peroxide during, for instance, cleaning processes. The peroxide can be introduced with any one of the above process chemistries, or any mixture thereof. The peroxide can include organic peroxides, or inorganic peroxides, or a combination thereof. For example, organic peroxides can include 2-butanone peroxide; 2,4-pentanedione peroxide; peracetic acid; t-butyl hydroperoxide; benzoyl peroxide; or m-chloroperbenzoic acid (mCPBA). Other peroxides can include hydrogen peroxide. Alternatively, the peroxide can include a diacyl peroxide, such as: decanoyl peroxide; lauroyl peroxide; succinic acid peroxide; or benzoyl peroxide; or any combination thereof. Alternatively, the peroxide can include a dialkyl peroxide, such as: dicumyl peroxide; 2,5-di(t-butylperoxy)-2,5-dimethylhexane; t-butyl cumyl peroxide; α,α-bis(t-butylperoxy)diisopropylbenzene mixture of isomers; di(t-amyl) peroxide; di(t-butyl) peroxide; or 2,5-di(t-butylperoxy)-2,5-dimethyl-3-hexyne; or any combination thereof. Alternatively, the peroxide can include a diperoxyketal, such as: 1,1-di(t-butylperoxy)-3,3,5-trimethyl cyclohexane; 1,1-di(t-butylperoxy)cyclohexane; 1,1-di(t-amylperoxy)-cyclohexane; n-butyl 4,4-di(t-butylperoxy)valerate; ethyl 3,3-di-(t-amylperoxy)butanoate; t-butyl peroxy-2-ethylhexanoate; or ethyl 3,3-di(t-butylperoxy)butyrate; or any combination thereof. Alternatively, the peroxide can include a hydroperoxide, such as: cumene hydroperoxide; or t-butyl hydroperoxide; or any combination thereof. Alternatively, the peroxide can include a ketone peroxide, such as: methyl ethyl ketone peroxide, or 2,4-pentanedione peroxide, or any combination thereof. Alternatively, the peroxide can include a peroxydicarbonate, such as: di(n-propyl)peroxydicarbonate, di(sec-butyl)peroxydicarbonate, or di(2-ethylhexyl) peroxydicarbonate, or any combination thereof. Alternatively, the peroxide can include a peroxyester, such as: 3-hydroxyl-1,1-dimethylbutyl peroxyneo-decanoate, α-cumyl peroxyneodecanoate; t-amyl peroxyneodecanoate, t-butyl peroxyneodecanoate, t-butyl peroxypivalate, 2,5-di(2-ethylhexanoylperoxy)-2,5-dimethylhexane, t-amyl peroxy-2-ethylhexanoate, t-butyl peroxy-2-ethylhexanoate, t-amyl peroxyacetate, t-butyl peroxyacetate, t-butyl peroxybenzoate, OO-(t-amyl) O-(2-ethylhexyl)monoperoxycarbonate, OO-(t-butyl) O-isopropyl monoperoxy-carbonate, OO-(t-butyl)O-(2-ethylhexyl) monoperoxycarbonate, polyether poly-t-butylperoxy carbonate, or t-butyl peroxy-3,5,5-trimethylhexanoate, or any combination thereof. Alternatively, the peroxide can include any combination of peroxides listed above.

In other embodiments, the process chemistry supply system 130 can be configured to introduce fluorosilicic acid. Alternatively, the process chemistry supply system 130 is configured to introduce fluorosilicic acid with a solvent, a co-solvent, a surfactant, another acid, a base, a peroxide, or an etchant. Alternatively, the fluorosilicic acid can be introduced in combination with any of the chemicals presented above. For example, fluorosilicic acid can be introduced with N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), butylene carbonate (BC), propylene carbonate (PC), N-methyl pyrrolidone (NMP), dimethylpiperidone, propylene carbonate, or an alcohol (such a methanol (MeOH), 1-propanol, isopropyl alcohol (IPA), or ethanol).

In one embodiment, the process chemistry supply system 130 can be configured to introduce a functionalizing agent. For example, the functionalizing agent can include an acyl halide (e.g., benzyl chloride), an alkyl halide (e.g., chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or an acyl alcohol (e.g., benzyl alcohol). The functionalizing agent can be introduced with a solvent or a co-solvent. Alternatively, the functionalizing agent can be introduced in combination with any of the chemicals presented above.

The processing system 100 can comprise a high-pressure fluid supply system 140. As shown in FIG. 1, the high-pressure fluid supply system 140 can be coupled to the recirculation system 120 using one or more lines 145, but this is not required. The inlet line 145 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high-pressure fluid supply system 140. In alternate embodiments, high-pressure fluid supply system 140 can be configured differently and coupled differently. For example, the high-pressure fluid supply system 140 can be coupled to the process module 110.

The high-pressure fluid supply system 140 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid. For example, the carbon dioxide source can include a CO2 feed system, and the flow control elements can include supply lines, valves, filters, pumps, and heaters. The high-pressure fluid supply system 140 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the processing chamber 108. For example, controller 180 can be used to determine fluid parameters such as pressure, temperature, process time, and flow rate.

The processing system 100 can also comprise a pressure control system 150. As shown in FIG. 1, the pressure control system 150 can be coupled to the process module 110 using one or more lines 155, but this is not required. Line 155 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to pressure control system 150. In alternate embodiments, pressure control system 150 can be configured differently and coupled differently. The pressure control system 150 can include one or more pressure valves (not shown) for exhausting the processing chamber 108 and/or for regulating the pressure within the processing chamber 108. Alternately, the pressure control system 150 can also include one or more pumps (not shown). For example, one pump may be used to increase the pressure within the processing chamber 108, and another pump may be used to evacuate the processing chamber 108. In another embodiment, the pressure control system 150 can comprise means for sealing the processing chamber 108. In addition, the pressure control system 150 can comprise means for raising and lowering the substrate 105 and/or the chuck 118.

Furthermore, the processing system 100 can comprise an exhaust control system 160. As shown in FIG. 1, the exhaust control system 160 can be coupled to the process module 110 using one or more lines 165, but this is not required. Line 165 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 160. In alternate embodiments, exhaust control system 160 can be configured differently and coupled differently. The exhaust control system 160 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Alternately, the exhaust control system 160 can be used to recycle the processing fluid.

In one embodiment, controller 180 can comprise a processor 182 and a memory 184. Memory 184 can be coupled to processor 182, and can be used for storing information and instructions to be executed by processor 182. Alternately, different controller configurations can be used. In addition, controller 180 can comprise a port 185 that can be used to couple processing system 100 to another system (not shown). Furthermore, controller 180 can comprise input and/or output devices (not shown).

In addition, one or more of the processing elements (110, 120, 130, 140, 150, 160, and 180) may include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions. For example, the memory may be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system. One or more of the processing elements can comprise the means for reading data and/or instructions from a computer readable medium. In addition, one or more of the processing elements can comprise the means for writing data and/or instructions to a computer readable medium.

Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein. Controller 180 can use data from computer readable medium memory to generate and/or execute computer executable instructions. The processing system 100 can perform a portion or all of the processing steps of the invention in response to the controller 180 executing one or more sequences of one or more computer-executable instructions contained in a memory. Such instructions may be received by the controller from another computer, a computer readable medium, or a network connection.

Stored on any one or on a combination of computer readable media, the present invention includes software for controlling the processing system 100, for driving a device or devices for implementing the invention, and for enabling the processing system 100 to interact with a human user and/or another system, such as a factory system. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.

The term “computer readable medium” as used herein refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction. A computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. The term “computer-executable instruction” as used herein refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.

Controller 180, processor 182, memory 184 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art. The computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.

Controller 180 can use port 185 to obtain computer code and/or software from another system (not shown), such as a factory system. The computer code and/or software can be used to establish a control hierarchy. For example, the processing system 100 can operate independently, or can be controlled to some degree by a higher-level system (not shown).

The controller 180 can use data from one or more of the system components to determine when to alter, pause, and/or stop a process. The controller 180 can use the data and operational rules to determine when to change a process and how to change the process, and rules can be used to specify the action taken for normal processing and the actions taken on exceptional conditions. Operational rules can be used to determine which processes are monitored and which data is used. For example, rules can be used to determine how to manage the data when a process is changed, paused, and/or stopped. In general, rules allow system and/or tool operation to change based on the dynamic state of the system.

Controller 180 can receive, send, use, and/or generate pre-process data, process data, and post-process data, and this data can include lot data, batch data, run data, composition data, and history data. Pre-process data can be associated with an incoming substrate and can be used to establish an input state for a substrate and/or a current state for a process module. Process data can include process parameters. Post processing data can be associated with a processed substrate and can be used to establish an output state for a substrate.

The controller 180 can use the pre-process data to predict, select, or calculate a set of process parameters to use to process the substrate. The pre-process data can include data describing the substrate to be processed. For example, the pre-process data can include information concerning the substrate's materials, the number of layers, the materials used for the different layers, the thickness of materials in the layers, the size of vias and trenches, the amount/type of process residue, the amount/type of oxidized and/or partially oxidized process residue, and a desired process result. The pre-process data can be used to determine a process recipe and/or process model. A process model can provide the relationship between one or more process recipe parameters and one or more process results. A process recipe can include a multi-step process involving a set of process modules. Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days.

The controller can compute a predicted state for the substrate based on the pre-process data, the process characteristics, and a process model. For example, a treatment model can be used along with a material type and thickness to compute a predicted process residue removal time. In addition, a removal rate model can be used along with the type of process residue and/or residue amount to compute a processing time for a removal process.

In one embodiment, the substrate can comprise at least one of a semiconductor material, a metallic material, a polysilicon material, low-k material, and process-related material. For example, the process-related material can include photoresist and/or photoresist residue, oxidized and/or partially oxidized residues. One process recipe can include steps for oxidizing residues and removing oxidized and/or partially oxidized residues from patterned or un-patterned low-k material. Additional process steps can include steps for cleaning, rinsing, and sealing low-k material. Those skilled in the art will recognize that low-k material can include low-k and ultra-low-k material.

It will be appreciated that the controller 180 can perform other functions in addition to those discussed here. The controller 180 can monitor the pressure, temperature, flow, or other variables associated with the processing system 100 and take actions based on these values. For example, the controller 180 can process measured data, display data and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator. The controller 180 can comprise a database component (not shown) for storing input and output data.

FIG. 2 illustrates an exemplary graph of pressure versus time for a supercritical process in accordance with an embodiment of the invention. In the illustrated embodiment, a graph 200 of pressure versus time is shown, and the graph 200 can be used to represent a supercritical residue removal process. Alternately, different pressures, different timing, and different sequences may be used for different processes.

Referring to FIGS. 1 and 2, prior to an initial time T0, the substrate to be processed can be placed within the processing chamber 108 and the processing chamber 108 can be sealed. During a residue removal process, a substrate having dielectric material and process-related residue on exposed surfaces can be positioned in the chamber. For example, a substrate can comprise low-k and/or ultra low-k material, exposed and/or unexposed metal, and process-related residue, such as photoresist, photoresist residue, oxidized residue, and/or partially oxidized residue. The substrate 105, the processing chamber 108, and the other elements in the recirculation loop 115 (FIG. 1) can be heated to an operational temperature. For example, the operational temperature can range from 40 to 300 degrees Celsius.

During time 201, the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized. For example, a supercritical fluid, such as substantially pure CO2, can be used to pressurize the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1). During time 201, a pump (not shown), can be started and can be used to circulate the supercritical fluid through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1). For example, the recirculation system 120 can comprise a recirculation pump. In an alternate embodiment, process chemistry may be injected during time 201.

During a second time 202, process chemistry can be introduced. In one embodiment, when the pressure in the processing chamber 108 exceeds a critical pressure Pc (1,070 psi), process chemistry can be injected into the processing chamber 108, using the process chemistry supply system 130. For example, the injection(s) of the process chemistries can begin upon reaching about 1100-1200 psi. In alternate embodiments, process chemistry may be injected into the processing chamber 108 before the pressure exceeds the critical pressure Pc (1,070 psi) using the process chemistry supply system 130. In one embodiment, process chemistry is injected in a linear fashion, and the injection time can be based on a recirculation time. For example, the recirculation time can be determined based on the length of the recirculation path and the flow rate. In other embodiments, process chemistry may be injected in a non-linear fashion. For example, process chemistry can be injected in one or more steps.

In one embodiment, the process chemistry can include a cleaning agent that is injected into the supercritical fluid. Alternately, the process chemistry may include a pre-treating agent, or a cleaning agent, or a rinsing agent, or a drying agent, or a combination thereof that is injected into the supercritical fluid. One or more injections of process chemistries can be performed during time 202 to generate a supercritical processing solution with the desired concentrations of chemicals. The process chemistry, in accordance with the embodiments of the invention, can also include one or more carrier solvents.

During the second time 202, the supercritical processing solution can also be re-circulated over the substrate and through the processing chamber 108 using the recirculation system 120, such as described above. In one embodiment, process chemistry is not injected during the second time 202. Alternatively, process chemistry may be injected into the processing chamber 108 before the second time 202 or after the second time 202.

In one embodiment, the process chemistry used during one or more steps in a residue removal process can include a high polarity solvent. Solvents, such as alcohols and water can be used. In another embodiment, the process chemistry used can include alcohol, acetic acid, and water.

The processing chamber 108 can operate at a pressure above 2,200 psi during the second time 202. For example, the pressure can range from approximately 2,500 psi to approximately 3,500 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions. The supercritical conditions within the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1) are maintained during the second time 202, and the supercritical processing solution continues to be circulated over the substrate and through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1). A pump (not shown) can be used to regulate the flow of the supercritical processing solution through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1).

In one embodiment, during the second time 202, the pressure can be substantially constant. Alternately, the pressure may have different values during different portions of the second time 202.

In one embodiment, the process chemistry used during one or more steps in a cleaning process can be injected at a pressure above approximately 2200 psi and circulated at a pressure above approximately 2700 psi. In an alternate embodiment, the process chemistry used during one or more steps in a cleaning process can be injected at a pressure above approximately 2500 psi and circulated at a pressure above approximately 2500 psi.

During a third time 203, a push-through process can be performed. In an alternate embodiment, a push-through process may not be required after each cleaning step. During the third time 203, a new quantity of temperature-controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from the high-pressure fluid supply system 140, and the supercritical corrosion inhibiting solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, supercritical carbon dioxide can be fed into the recirculation system 120 from the high-pressure fluid supply system 140, and the supercritical corrosion inhibiting solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.

The high-pressure fluid supply system 140 can comprise means for providing one or more volumes of temperature-controlled fluid during a push-through process, and the volumes can be larger than the volume of the recirculation loop 115. Alternately, one or more of the volumes can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the one or more volumes of temperature-controlled fluid during the push-through process cycle can be controlled to be less than approximately ten degrees Celsius. Providing temperature-controlled fluid during the push-through process prevents process residue suspended or dissolved within the fluid being displaced from the processing chamber 108 and the other elements in the recirculation loop 115 from dropping out and/or adhering to the processing chamber 108 and the other elements in the recirculation loop 115.

In one embodiment, a single push-through process can be performed after a cleaning process is performed. In an alternate embodiment, one or more push-through processes may be used after a cleaning process is performed.

In the illustrated embodiment shown in FIG. 2, a single second time 202 is followed by a single third time 203, but this is not required. In alternate embodiments, other time sequences may be used to process a substrate.

During a fourth time 204, a decompression process can be performed. In an alternate embodiment, a decompression process is not required. During the fourth time 204, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a first pressure and a second pressure one or more times. In alternate embodiments, the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160. The pressure can be increased by adding high-pressure carbon dioxide. In an alternate embodiment, during a portion of the fourth time 204, one or more additional pressures may be established.

The high-pressure fluid supply system 140 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop 115. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately ten degrees Celsius. In addition, the high-pressure fluid supply system 140 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop 115. Alternately, the second volume can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately twenty degrees Celsius. Alternately, the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately ten degrees Celsius during a decompression cycle.

Process steps 202, 203, and 204 can be repeated a number of times to achieve a desired process result, and a unique process recipe can be established for each different combination of the process steps. A process recipe can be used to establish the process parameters used during the different process recipes to process and/or remove different process-related residues. In addition, the process parameters can be different during the different process steps based on the type of removal process being performed. For example, a process recipe established for processing and/or removing process-related residues on one type of substrate from one manufacturer line can be different from the process recipe established for processing and/or removing process-related residues on another type of substrate from a different manufacturer line.

During a cleaning process, for example during the second time 202, a substrate can be processed using one or more oxidation steps. For example, it is common to oxidize the substrate during the removal of post etch and post ash residue from the substrate. The process of oxidation causes the substrate structure to cleave at several points leaving smaller fragments of oxidized residue behind. This residue has only minimal solubility in supercritical CO2 due to the polar constituents resulting from oxidation.

After the cleaning process, a treatment process can be performed in which the substrate can be treated using a functionalizing agent. For example, the functionalizing agent can include acyl halide (benzyl chloride), alkyl halide (chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or acyl alcohols (benzyl alcohol). The functionalizing agent can react with the smaller fragments and other less soluble components, and these functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors.

The treatment process can be performed during a fifth time 205. In the illustrated embodiment, a single step treatment process is shown, but this is not required. Alternately, a multi-step treatment process may be performed. In another embodiment, a variable pressure treatment process may be performed. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be changed by adding and/or removing high-pressure carbon dioxide.

In one embodiment, a treatment pressure is established during the fifth time 205 using supercritical carbon dioxide. For example, the processing chamber can be pressurized to above approximately 2500 psi. In addition, a treatment chemistry can be introduced into the processing chamber. Then, the treatment chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a first period of time to remove the remaining portions of the process-related residue from a surface of the substrate. In one embodiment, the first period of time is less than about three minutes. Alternately, the first period of time may vary from approximately ten seconds to approximately ten minutes. Furthermore, additional treatment chemistry (functionalizing agent) and/or supercritical fluid may be provided.

In an alternate embodiment, the functionalizing agent may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the functionalizing agent can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time.

During a sixth time 206, a decompression process can be performed. In an alternate embodiment, a decompression process is not required. During the sixth time 206, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a first pressure and a second pressure one or more times. In alternate embodiments, the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160, and the pressure can be increased by adding supercritical carbon dioxide.

Process steps 205 and 206 can be repeated a number of times to achieve a desired process result, and different treatment recipes can be established for each different combination of the process parameters.

In an alternate embodiment, one or more push-through processes (not shown) may be performed before process step 206. During a push-through process, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.

Process steps 202, 203, 204, 205, and 206 can be repeated a number of times to achieve a desired process result for a particular material, and different combinations of cleaning recipes and treatment recipes can be established for each different combination of the process parameters. A cleaning/treatment recipe can be used to establish the cleaning/treatment chemistry, cleaning/treatment time, and number of process cycles.

During a seventh time 207, a rinsing process can be performed. In an alternate embodiment, a rinsing process is not required. Alternately, a drying step, a rinsing step, a cleaning step, a push-through step, a pore sealing step, a dielectric repair step, or an etching step, or a combination thereof can be performed.

In the illustrated embodiment, a single step rinsing process is shown, but this is not required. Alternately, a multi-step rinsing process may be performed. In another embodiment, a variable pressure rinsing process may be performed. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be changed by adding and/or removing high-pressure carbon dioxide.

In one embodiment, a rinsing pressure is established during the seventh time 207 using supercritical carbon dioxide. For example, the processing chamber can be pressurized to above approximately 2500 psi. In addition, a rinsing chemistry can be introduced into the processing chamber. Then, the rinsing chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time to remove the remaining portions of the process-related residue from a surface of the substrate and/or to remove the remaining portions of the treatment chemistry. In one embodiment, the first period of time is less than about three minutes. Alternately, the period of time may vary from approximately ten seconds to approximately ten minutes. Furthermore, additional rinsing chemistry and/or supercritical fluid may be provided.

In an alternate embodiment, the rinsing chemistry may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the rinsing chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time.

During an eighth time 208, a decompression process can be performed. In an alternate embodiment, a decompression process is not required. During the eighth time 208, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a first pressure and a second pressure one or more times. In alternate embodiments, the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160, and the pressure can be increased by adding supercritical carbon dioxide.

Process steps 207 and 208 can be repeated a number of times to achieve a desired process result, and different rinsing recipes can be established for each different combination of the process parameters. The recipe for a rinsing process can be used to establish the rinsing chemistry, the rinsing process time, and number of decompression cycles.

In an alternate embodiment, one or more push-through processes (not shown) may be performed before process step 208. During a push-through process, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.

Process steps 202, 203, 204, 205, 206, 207, and 208 can be repeated a number of times to achieve a desired process result for a particular material, and different combinations of cleaning recipes, treatment recipes, and rinsing recipes can be established for each different combination of the process parameters. A cleaning/treatment/ rinsing recipe can be used to establish the cleaning/treatment/ rinsing chemistry, cleaning/treatment/ rinsing time, and number of process cycles.

During a ninth time 209, the processing chamber 108 can be returned to lower pressure. For example, after the decompression and compression cycles are complete, then the processing chamber can be vented or exhausted to a transfer system pressure. For substrate processing, the chamber pressure can be made substantially equal to the pressure inside of a transfer system (not shown) coupled to the processing chamber. In one embodiment, the substrate can be moved from the processing chamber into the transfer, and moved to a second process apparatus or module to continue processing.

In the illustrated embodiment shown in FIG. 2, the pressure returns to an initial pressure P0, but this is not required for the invention. In alternate embodiments, the pressure does not have to return to P0, and the process sequence can continue with additional time steps such as those shown in time steps 201, 202, 203, 204, 205, 206, 207, and/or 208.

The graph 200 is provided for exemplary purposes only. It will be understood by those skilled in the art that a supercritical process can have any number of steps having different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, treating, and rinsing process sequences with each step having any number of compression and decompression cycles are contemplated. In addition, as stated previously, concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.

In addition, additional processing steps can be performed after a residue removal process is performed. For example, a pore sealing, a k-value restoration, a rinsing process, a cleaning process, or a drying process, or a combination thereof can be performed. These additional processes may require other processing chemistry to be circulated through the processing chamber, such as by a recirculation loop. For example, the removal chemistry can include alcohol and water, and the rinsing chemistry does not include water. Alternately, drying steps may be included.

In another embodiment, the controller 180 can use historical data and/or process models to compute an expected value for the temperature of the fluid at various times during the process. The controller 180 can compare an expected temperature value to a measured temperature value to determine when to alter, pause, and/or stop a process.

In a supercritical process, the desired process result can be a process result that is measurable using an optical measuring device, such as a Scanning Electron Microscope (SEM) and/or Transmission Electron Microscope (TEM). For example, the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate. After one or more processing steps, the desired process can be measured. In other embodiments, the desired process result can be a process result that is measurable using Fourier Transform Infrared Spectroscopy (FTIR) which is an analytical technique used to identify materials. The FTIR technique measures the absorption of various infrared light wavelengths by the material of interest. These infrared absorption bands identify specific molecular components and structures. The absorption bands in the region between 1500-400 wave numbers are generally due to intra-molecular phenomena, and are highly specific for each material. The specificity of these bands allows computerized data searches to be performed against reference libraries to identify a material and/or identify the presence of a material.

FIG. 3 illustrates a flow chart of a method of performing a supercritical residue removal process on a substrate in accordance with embodiments of the present invention. Procedure 300 can start in 305.

Referring to FIGS. 1-3, the substrate 105 to be processed can be placed within the processing chamber 108 and the processing chamber 108 can be sealed. For example, during a supercritical residue removal process, the substrate 105 being processed can comprise semiconductor material, low-k dielectric material, metallic material, and can have process-related residue thereon. The substrate 105, the processing chamber 108, and the other elements in the recirculation loop 115 can be heated to an operational temperature. For example, the operational temperature can range from approximately 40 degrees Celsius to approximately 300 degrees Celsius. In some examples, the temperature can range from approximately 80 degrees Celsius to approximately 150 degrees Celsius.

In addition, the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized. For example, a supercritical fluid, such as substantially pure CO2, can be used to pressurize the processing chamber 108 and the other elements in the recirculation loop 115. A pump (not shown) can be used to circulate the supercritical fluid through the processing chamber 108 and the other elements in the recirculation loop 115.

In 310, a cleaning process can be performed. In one embodiment, a supercritical cleaning process can be performed. Alternately, a non-supercritical cleaning process can be performed. In one embodiment, a supercritical cleaning process 310 can include recirculating the cleaning chemistry through the processing chamber 108, such as via recirculation loop 115. Recirculating the cleaning chemistry over the substrate 105 within the processing chamber 108 can comprise recirculating the cleaning chemistry for a period of time to process and/or remove one or more materials and/or residues from the substrate.

In one embodiment, one or more push-through steps can be performed as a part of the cleaning process. During a push-through step, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical cleaning solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, a push-through step is not required during a cleaning step. For example, process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.

In one embodiment, dielectric material can be processed and one or more photoresist materials and/or residues can be removed from the low-k dielectric material using process chemistry that includes one or more cleaning agents and one or more solvents.

In 315, a query is performed to determine when the cleaning process has been completed. When the cleaning process is completed, procedure 300 can branch via 317 to 320 and continues. When the cleaning process is not completed, procedure 300 branches back via 316 to 310 and the cleaning process continues. One or more process recipes can be performed during a cleaning process. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different cleaning process steps.

In 320, a treatment process can be performed while maintaining the processing system in a high pressure state. A treatment process can be performed in which the substrate is treated using a functionalizing agent. For example, the functionalizing agent can include an acyl halide (e.g., benzyl chloride), an alkyl halide (e.g., chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or an acyl alcohol (e.g., benzyl alcohol). The functionalizing agent can react with the smaller fragments and other less soluble components, and these functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors. Treatment processes can be performed after an oxidation process, or after an etching process, or after an oxidation/etching process.

The treatment process can be a multi-step process. For example, the processing chamber can be pressurized to above approximately 2500 psi, and a treatment chemistry can be introduced into the processing chamber. Then, the treatment chemistry can be re-circulated through the processing chamber 108, such as via recirculation loop 115, for a period of time to remove the remaining portions of the process-related residue from a surface of the substrate. In one embodiment, the period of time is less than about three minutes. Alternately, the period of time may vary from approximately ten seconds to approximately ten minutes. Furthermore, additional treatment chemistry (functionalizing agent) and/or supercritical fluid may be provided.

In an alternate embodiment, the functionalizing agent may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the functionalizing agent can be recirculated through the processing chamber 108, such as via recirculation loop 115, for a period of time.

In one embodiment, one or more push-through steps can be performed as a part of the treatment process. During a push-through step, a new quantity of temperature-controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, a push-through step is not required during a cleaning step. For example, process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.

In one embodiment, one or more decompression processes can be performed as a part of the treatment process. During a decompression process, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a higher pressure and a lower pressure one or more times. In alternate embodiments, the pressures can vary. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be increased by adding temperature-controlled supercritical carbon dioxide.

In 325, a query is performed to determine when the treatment process 320 has been completed. When the treatment process is completed, procedure 300 can branch via 327 to 330, and procedure 300 can continue on to step 330 if no additional treatment steps are required. Alternately, when the treatment process is completed and additional cleaning steps are required, procedure 300 can branch via 328 back to 310, and procedure 300 can continue by performing additional removal steps as required.

When the treatment process is not completed, procedure 300 can branch back via 326 to 320 and the treatment process 320 can continue. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different treatment processes.

In 330, a rinsing process can be performed. In one embodiment, a supercritical rinsing process can be performed. Alternately, a non-supercritical rinsing process can be performed. In one embodiment, a supercritical rinsing process 310 can include recirculating the rinsing chemistry through the processing chamber 108, such as via recirculation loop 115. Recirculating the rinsing chemistry can comprise recirculating the rinsing chemistry for a period of time to process and/or remove one or more materials and/or residues from the substrate.

In one embodiment, one or more push-through steps can be performed as a part of the rinsing process. During a push-through step, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical rinsing solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, a push-through step is not required during a rinsing step. For example, process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.

In one embodiment, dielectric material can be processed and one or more process byproducts can be removed from the low-k dielectric material using process chemistry that includes one or more rinsing agents and one or more solvents.

In 335, a query is performed to determine when the rinsing process has been completed. When the rinsing process is completed, procedure 300 can branch via 337 to 350 and continues. Alternately, when the rinsing process is completed and additional cleaning and/or treatment processes are required, procedure 300 can branch back via 338 to 310 or 320, and procedure 300 can continue by performing additional removal and/or treatment steps as required. When the rinsing process is not completed, procedure 300 branches back via 336 to 330 and the rinsing process continues. One or more process recipes can be performed during a rinsing process. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different rinsing process steps.

In 350, a venting process can be performed. In one embodiment, a variable pressure venting process can be performed. Alternately, a multi-pressure venting process can be performed. During a venting process, the pressure in the processing chamber 108 can be lower to a pressure that is compatible with a transfer system pressure. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160.

Procedure 300 ends in 395.

After a removal process has been performed, a k-value restoration process, or a pore sealing process, or a combination process can be performed.

In additional embodiments, the processes described herein can be further supplemented by ozone processing. For example, when performing a cleaning process, the substrate can be subjected to ozone treatment prior to treating with a supercritical processing solution. During ozone treatment, the substrate enters an ozone module, and the surface residues to be removed are exposed to an ozone atmosphere. For instance, a partial pressure of ozone formed in oxygen can be flowed over the surface of the substrate for a period of time sufficient to oxidize residues either partly or wholly. The ozone process gas flow rate can, for example, range from 1 to 50 slm (standard liters per minute) and, by way of further example, the flow rate can range from 5 to 15 slm. Additionally, the pressure can, for example, range from 1 to 5 atm and, by way of further example, range from 1 to 3 atm. Further details are provided in co-pending U.S. patent application Ser. No. 10/987,594, entitled “Method for Removing a Residue from a Substrate Using Supercritical Carbon Dioxide Processing,” filed on Nov. 12, 2004, and co-pending U.S. patent application Ser. No. 10/987,676, entitled “A System for Removing a Residue from a Substrate Using Supercritical Carbon Dioxide Processing,” filed on Nov. 12, 2004, the entire contents of which are incorporated herein by reference in their entirety.

While the invention has been described in terms of specific embodiments incorporating details to facilitate the understanding of the principles of construction and operation of the invention, such reference herein to specific embodiments and details thereof is not intended to limit the scope of the claims appended hereto. It will be apparent to those skilled in the art that modifications may be made in the embodiments chosen for illustration without departing from the scope of the invention.

Kevwitch, Robert

Patent Priority Assignee Title
10096497, Jul 05 2016 Tokyo Electron Limited Substrate liquid processing apparatus, substrate liquid processing method and storage medium
10679872, Jul 05 2016 Tokyo Electron Limited Substrate liquid processing apparatus, substrate liquid processing method and storage medium
9146551, Nov 29 2012 ASM IP Holding B.V.; ASM IP HOLDING B V Scheduler for processing system
9437416, Mar 25 2011 Kioxia Corporation Supercritical drying method for semiconductor substrate
Patent Priority Assignee Title
2439689,
2617719,
2625886,
3642020,
3660160,
3744660,
3890176,
3900551,
3968885, Jun 29 1973 International Business Machines Corporation Method and apparatus for handling workpieces
4029517, Mar 01 1976 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
4091643, May 14 1976 AMA Universal S.p.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
4219333, Jul 03 1978 Carbonated cleaning solution
4245154, Sep 24 1977 TOKYO OHKA KOGYO KABUSHIKI KAISHA, A JAPANESE COMPANY Apparatus for treatment with gas plasma
4341592, Aug 04 1975 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
4349415, Sep 28 1979 MELLON BANK, N A AS COLLATERAL AGENT; MELLON BANK, N A , COLLATERAL AGENT Process for separating organic liquid solutes from their solvent mixtures
4355937, Dec 24 1980 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
4367140, Nov 05 1979 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
4406596, Jul 27 1981 DEPA GMBH Compressed air driven double diaphragm pump
4422651, Nov 01 1976 General Descaling Company Limited Closure for pipes or pressure vessels and a seal therefor
4474199, Nov 17 1981 L'Air Liquide, Societe Anonyme pour l'Etude et l'Exploitation des Cleaning or stripping of coated objects
4475993, Aug 15 1983 The United States of America as represented by the United States Extraction of trace metals from fly ash
4522788, Mar 05 1982 Leco Corporation Proximate analyzer
4549467, Aug 03 1983 WILDEN PUMP AND ENGINEERING LLC Actuator valve
4592306, Dec 05 1983 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
4601181, Nov 19 1982 Installation for cleaning clothes and removal of particulate contaminants especially from clothing contaminated by radioactive particles
4626509, Jul 11 1983 Data Packaging Corp. Culture media transfer assembly
4670126, Apr 28 1986 Varian Associates, Inc. Sputter module for modular wafer processing system
4682937, Sep 21 1979 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
4693777, Nov 30 1984 Kabushiki Kaisha Shibaura Seisakusho Apparatus for producing semiconductor devices
4749440, Aug 28 1985 FSI International, Inc Gaseous process and apparatus for removing films from substrates
4778356, Jun 11 1985 Diaphragm pump
4788043, Apr 17 1985 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
4789077, Feb 24 1988 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
4823976, May 04 1988 The United States of America as represented by the Administrator of the Quick actuating closure
4825808, Dec 19 1986 Anelva Corporation Substrate processing apparatus
4827867, Nov 28 1985 Daikin Industries, Ltd. Resist developing apparatus
4838476, Nov 12 1987 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
4865061, Jul 22 1983 Quadrex HPS, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
4877530, Apr 25 1984 MELLON BANK, N A AS COLLATERAL AGENT; MELLON BANK, N A , COLLATERAL AGENT Liquid CO2 /cosolvent extraction
4879004, May 07 1987 ABB Schweiz AG Process for the extraction of oil or polychlorinated biphenyl from electrical parts through the use of solvents and for distillation of the solvents
4879431, Mar 09 1989 Biomedical Research And Development Laboratories, Inc.; BIOMEDICAL RESEARCH AND DEVELOPMENT LABORATORIES, INC , Tubeless cell harvester
4917556, Apr 28 1986 Varian Semiconductor Equipment Associates, Inc Modular wafer transport and processing system
4923828, Jul 07 1989 Eastman Kodak Company Gaseous cleaning method for silicon devices
4924892, Jul 28 1987 Mazda Motor Corporation Painting truck washing system
4925790, Aug 30 1985 The Regents of the University of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
4933404, Nov 27 1987 BATTELLE MEMORIAL INSTITUTE, A CORP OF OH Processes for microemulsion polymerization employing novel microemulsion systems
4944837, Feb 29 1988 MASARU NISHIKAWA; Hoya Corporation Method of processing an article in a supercritical atmosphere
4951601, Dec 19 1986 Applied Materials, Inc. Multi-chamber integrated process system
4960140, Nov 30 1984 Ishijima Industrial Co., Ltd.; Ebara Corporation Washing arrangement for and method of washing lead frames
4983223, Oct 24 1989 Chenpatents Apparatus and method for reducing solvent vapor losses
5011542, Aug 01 1987 Method and apparatus for treating objects in a closed vessel with a solvent
5013366, Dec 07 1988 Raytheon Company Cleaning process using phase shifting of dense phase gases
5044871, Oct 24 1985 Texas Instruments Incorporated Integrated circuit processing system
5062770, Aug 11 1989 Saint-Gobain Performance Plastics Corporation Fluid pumping apparatus and system with leak detection and containment
5068040, Apr 03 1989 Raytheon Company Dense phase gas photochemical process for substrate treatment
5071485, Sep 11 1990 LG Electronics Inc Method for photoresist stripping using reverse flow
5091207, Jul 20 1989 Fujitsu Semiconductor Limited Process and apparatus for chemical vapor deposition
5105556, Aug 12 1987 Hitachi, Ltd. Vapor washing process and apparatus
5143103, Jan 04 1991 International Business Machines Corporation; INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NY Apparatus for cleaning and drying workpieces
5158704, Nov 24 1987 Battelle Memorial Insitute Supercritical fluid reverse micelle systems
5167716, Sep 28 1990 Novellus Systems, Inc Method and apparatus for batch processing a semiconductor wafer
5169296, Mar 10 1989 WILDEN PUMP AND ENGINEERING LLC Air driven double diaphragm pump
5169408, Jan 26 1990 FSI International, Inc. Apparatus for wafer processing with in situ rinse
5174917, Jul 19 1991 Met-Tech Systems Limited Compositions containing n-ethyl hydroxamic acid chelants
5185058, Jan 29 1991 Micron Technology, Inc. Process for etching semiconductor devices
5185296, Jul 26 1988 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
5186594, Apr 19 1990 APPLIED MATERIALS, INC , A DE CORP Dual cassette load lock
5186718, May 19 1989 Applied Materials, Inc. Staged-vacuum wafer processing system and method
5188515, Jun 08 1990 LEWA Herbert Ott GmbH & Co. Diaphragm for an hydraulically driven diaphragm pump
5190373, Dec 24 1991 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
5191993, Mar 04 1991 Xorella AG Device for the shifting and tilting of a vessel closure
5193560, Jan 30 1989 Kabushiki Kaisha Tiyoda Sisakusho Cleaning system using a solvent
5195878, May 20 1991 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
5196134, Dec 20 1989 Raytheon Company Peroxide composition for removing organic contaminants and method of using same
5201960, Feb 04 1991 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
5213485, Mar 10 1989 WILDEN PUMP AND ENGINEERING LLC Air driven double diaphragm pump
5213619, Nov 30 1989 Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
5215592, Apr 03 1989 Raytheon Company Dense fluid photochemical process for substrate treatment
5217043, Apr 19 1990 Control valve
5221019, Nov 07 1991 MARIE H PECHACEK FAMILY PARTNERS, L P Remotely operable vessel cover positioner
5222876, Oct 08 1990 ALMATEC Maschinenbau GmbH Double diaphragm pump
5224504, May 25 1988 Semitool, Inc. Single wafer processor
5225173, Jun 12 1991 IDAHO RESEARCH FOUNDATION, INC Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
5236602, Apr 03 1989 Raytheon Company Dense fluid photochemical process for liquid substrate treatment
5236669, Sep 12 1990 DEPUY ORTHOPAEDICS INC Pressure vessel
5237824, Feb 16 1990 University of Waterloo Apparatus and method for delivering supercritical fluid
5238671, Nov 27 1987 BATTELLE MEMORIAL INSTITUTE, A CORP OF OH Chemical reactions in reverse micelle systems
5240390, Mar 27 1992 Graco Inc.; Graco Inc Air valve actuator for reciprocable machine
5243821, Jun 24 1991 Air Products and Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
5246500, Sep 05 1991 Kabushiki Kaisha Toshiba Vapor phase epitaxial growth apparatus
5250078, May 17 1991 Ciba Specialty Chemicals Corporation Process for dyeing hydrophobic textile material with disperse dyes from supercritical CO2 : reducing the pressure in stages
5251776, Aug 12 1991 H. William, Morgan, Jr. Pressure vessel
5261965, Aug 28 1992 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
5266205, Feb 04 1988 Battelle Memorial Institute Supercritical fluid reverse micelle separation
5267455, Jul 13 1992 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL, THE; North Carolina State University; NORTH CAROLINA AT CHAPEL HILL, THE UNIVERSITY OF Liquid/supercritical carbon dioxide dry cleaning system
5269815, Nov 20 1991 Ciba Specialty Chemicals Corporation Process for the fluorescent whitening of hydrophobic textile material with disperse fluorescent whitening agents from super-critical carbon dioxide
5269850, Dec 20 1989 Raytheon Company Method of removing organic flux using peroxide composition
5274129, Jun 12 1991 IDAHO RESEARCH FOUNDATION, INC Hydroxamic acid crown ethers
5280693, Oct 14 1991 Krones AG Hermann Kronseder Maschinenfabrik Vessel closure machine
5285352, Jul 15 1992 Freescale Semiconductor, Inc Pad array semiconductor device with thermal conductor and process for making the same
5288333, May 06 1989 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
5290361, Jan 24 1991 Wako Pure Chemical Industries, Ltd.; Purex Co., Ltd. Surface treating cleaning method
5294261, Nov 02 1992 VERSUM MATERIALS US, LLC Surface cleaning using an argon or nitrogen aerosol
5298032, Sep 11 1991 Ciba Specialty Chemicals Corporation Process for dyeing cellulosic textile material with disperse dyes
5304515, Jul 26 1988 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on substrate
5306350, Dec 21 1990 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
5312882, Jul 30 1993 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL, THE Heterogeneous polymerization in carbon dioxide
5313965, Jun 01 1992 Raytheon Company Continuous operation supercritical fluid treatment process and system
5314574, Jun 26 1992 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
5316591, Aug 10 1992 Raytheon Company Cleaning by cavitation in liquefied gas
5320742, Mar 12 1992 Mobil Oil Corporation Gasoline upgrading process
5328722, Nov 06 1992 Applied Materials, Inc Metal chemical vapor deposition process using a shadow ring
5334332, Nov 05 1990 EKC TECHNOLOGY, INC Cleaning compositions for removing etching residue and method of using
5334493, Dec 12 1990 Fuji Photo Film Co., Ltd. Photographic processing solution having a stabilizing ability and a method for processing a silver halide color photographic light-sensitive material
5337446, Oct 27 1992 SNAP-TITE TECHNOLOGIES, INC Apparatus for applying ultrasonic energy in precision cleaning
5339844, Aug 10 1992 Raytheon Company Low cost equipment for cleaning using liquefiable gases
5352327, Jul 10 1992 Intersil Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
5355901, Oct 27 1992 SNAP-TITE TECHNOLOGIES, INC Apparatus for supercritical cleaning
5356538, Jun 12 1991 IDAHO RESEARCH FOUNDATION, INC Supercritical fluid extraction
5364497, Aug 04 1993 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
5368171, Jul 20 1992 Dense fluid microwave centrifuge
5370740, Oct 01 1993 Raytheon Company Chemical decomposition by sonication in liquid carbon dioxide
5370741, May 15 1990 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
5370742, Jul 13 1992 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL, THE; North Carolina State University; NORTH CAROLINA AT CHAPEL HILL, THE UNIVERSITY OF Liquid/supercritical cleaning with decreased polymer damage
5377705, Sep 16 1993 SNAP-TITE TECHNOLOGIES, INC Precision cleaning system
5401322, Jun 30 1992 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
5403621, Dec 12 1991 Raytheon Company Coating process using dense phase gas
5403665, Jun 18 1993 Regents of the University of California, The Method of applying a monolayer lubricant to micromachines
5404894, May 20 1992 Tokyo Electron Limited Conveyor apparatus
5412958, Jul 13 1992 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL, THE; North Carolina State University; NORTH CAROLINA AT CHAPEL HILL, THE UNIVERSITY OF Liquid/supercritical carbon dioxide/dry cleaning system
5417768, Dec 14 1993 SNAP-TITE TECHNOLOGIES, INC Method of cleaning workpiece with solvent and then with liquid carbon dioxide
5433334, Sep 08 1993 SCHWARTZ, RICHARD L Closure member for pressure vessel
5447294, Jan 21 1993 Tokyo Electron Limited Vertical type heat treatment system
5456759, Aug 10 1992 Raytheon Company Method using megasonic energy in liquefied gases
5470393, Aug 02 1993 Kabushiki Kaisha Toshiba Semiconductor wafer treating method
5474812, Jan 10 1992 Amann & Sohne GmbH & Co. Method for the application of a lubricant on a sewing yarn
5482564, Jun 21 1994 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
5486212, Sep 04 1991 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL, THE; North Carolina State University; NORTH CAROLINA AT CHAPEL HILL, THE UNIVERSITY OF Cleaning through perhydrolysis conducted in dense fluid medium
5494526, Apr 08 1994 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquified gases
5500081, May 15 1990 SEMITOOL, INC Dynamic semiconductor wafer processing using homogeneous chemical vapors
5501761, Oct 18 1994 AT&T Corp. Method for stripping conformal coatings from circuit boards
5503176, Nov 13 1989 CORE INDUSTRIES, INC Backflow preventor with adjustable cutflow direction
5505219, Nov 23 1994 Litton Systems, Inc.; KIRK, JAMES F Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
5509431, Dec 14 1993 SNAP-TITE TECHNOLOGIES, INC Precision cleaning vessel
5514220, Dec 09 1992 Pressure pulse cleaning
5522938, Aug 08 1994 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
5526834, Oct 27 1992 SNAP-TITE TECHNOLOGIES, INC Apparatus for supercritical cleaning
5533538, Jun 30 1992 Southwest Research Institute Apparatus for cleaning articles utilizing supercritical and near supercritical fluids
5547774, Oct 08 1992 International Business Machines Corporation Molecular recording/reproducing method and recording medium
5550211, Dec 18 1991 Schering Corporation Method for removing residual additives from elastomeric articles
5571330, Nov 13 1992 ASM Japan K.K. Load lock chamber for vertical type heat treatment apparatus
5580846, Jan 28 1994 Wako Pure Chemical Industries, Ltd. Surface treating agents and treating process for semiconductors
5589082, Dec 11 1992 The Regents of the University of California Microelectromechanical signal processor fabrication
5589105, Jul 30 1993 The University of North Carolina at Chapel Hill Heterogeneous polymerization in carbon dioxide
5589224, Sep 30 1992 Applied Materials, Inc. Apparatus for full wafer deposition
5618751, May 23 1996 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
5621982, Jul 29 1992 MURATEC AUTOMATION CO , LTD Electronic substrate processing system using portable closed containers and its equipments
5629918, Jan 20 1995 Regents of the University of California, The Electromagnetically actuated micromachined flap
5632847, Apr 26 1994 CHLORINE ENGINEERS CORP , LTD ; Kabushiki Kaisha Toshiba Film removing method and film removing agent
5635463, Mar 17 1995 NOMURA MICRO SCIENCE CO , LTD Silicon wafer cleaning fluid with HN03, HF, HCl, surfactant, and water
5637151, Jun 27 1994 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
5641887, Apr 01 1994 University of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
5644855, Apr 06 1995 Air Products and Chemicals, Inc. Cryogenically purged mini environment
5649809, Dec 08 1994 Abel GmbH & Co. Handels-und Verwaltungsgesllschaft Crankshaft and piston rod connection for a double diaphragm pump
5656097, Oct 20 1993 Akrion Systems LLC Semiconductor wafer cleaning system
5665527, Feb 17 1995 GLOBALFOUNDRIES Inc Process for generating negative tone resist images utilizing carbon dioxide critical fluid
5669251, Jul 30 1996 HANGER SOLUTIONS, LLC Liquid carbon dioxide dry cleaning system having a hydraulically powered basket
5672204, Apr 27 1995 Shin-Etsu Handotai Co., Ltd. Apparatus for vapor-phase epitaxial growth
5676705, Mar 06 1995 Lever Brothers Company, Division of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
5679169, Dec 19 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method for post chemical-mechanical planarization cleaning of semiconductor wafers
5679171, Mar 27 1995 Sony Corporation Method of cleaning substrate
5683473, Mar 06 1995 Lever Brothers Company, Division of Conopco, Inc. Method of dry cleaning fabrics using densified liquid carbon dioxide
5683977, Mar 06 1995 Lever Brothers Company, Division of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
5688879, Mar 27 1992 The University of North Carolina at Chapel Hill Method of making fluoropolymers
5700379, Feb 23 1995 Infineon Technologies AG Method for drying micromechanical components
5702228, Jul 31 1995 Sumitomo Heavy Industries, Ltd. Robotic arm supporting an object by interactive mechanism
5706319, Aug 12 1996 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
5714299, Nov 04 1996 Xerox Corporation Processes for toner additives with liquid carbon dioxide
5725987, Nov 01 1996 Xerox Corporation Supercritical processes
5726211, Mar 21 1996 International Business Machines Corporation Process for making a foamed elastometric polymer
5730874, Jun 12 1991 IDAHO RESEARCH FOUNDATION, INC Extraction of metals using supercritical fluid and chelate forming legand
5736425, Nov 14 1996 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
5739223, Mar 27 1992 The University of North Carolina at Chapel Hill Method of making fluoropolymers
5746008, Jul 29 1992 MURATEC AUTOMATION CO , LTD Electronic substrate processing system using portable closed containers
5766367, May 14 1996 Sandia Corporation Method for preventing micromechanical structures from adhering to another object
5769588, Apr 19 1990 Lucent Technologies Inc Dual cassette load lock
5783082, Nov 03 1995 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL , THE Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
5797719, Oct 30 1996 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
5798126, May 21 1996 Kabushiki Kaisha Kobe Seiko Sho Sealing device for high pressure vessel
5798438, Sep 09 1996 Regents of the University of California, The Polymers with increased order
5804607, Mar 21 1996 International Business Machines Corporation Process for making a foamed elastomeric polymer
5807607, Nov 14 1996 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
5817178, May 30 1995 Kabushiki Kaisha Toshiba Apparatus for baking photoresist applied on substrate
5847443, Jun 23 1994 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
5866005, Nov 03 1995 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL THE Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
5868856, Jul 23 1997 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
5868862, Jul 31 1997 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
5872061, Oct 27 1997 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
5872257, Apr 01 1994 University of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
5873948, Jun 07 1994 LG Semicon Co., Ltd. Method for removing etch residue material
5881577, Sep 09 1996 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
5882165, Dec 19 1986 Applied Materials, Inc. Multiple chamber integrated process system
5888050, Oct 30 1996 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
5893756, Aug 26 1997 Bell Semiconductor, LLC Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
5896870, Mar 11 1997 International Business Machines Corporation Method of removing slurry particles
5898727, Apr 26 1996 Kabushiki Kaisha Kobe Seiko Sho; Nihon Shinku Gijutsu Kabushiki Kaisha High-temperature high-pressure gas processing apparatus
5900107, Jan 09 1995 FLECK CONTROLS, LLC Fitting installation process and apparatus for a molded plastic vessel
5900354, Jul 03 1997 Method for optical inspection and lithography
5904737, Nov 26 1997 Cool Clean Technologies, LLC Carbon dioxide dry cleaning system
5906866, Feb 10 1997 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
5908510, Oct 16 1996 International Business Machines Corporation Residue removal by supercritical fluids
5928389, Oct 21 1996 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
5932100, Jun 16 1995 University of Washington Microfabricated differential extraction device and method
5934856, May 23 1994 Tokyo Electron Limited Multi-chamber treatment system
5934991, Feb 01 1998 Fortrend Engineering Corporation Pod loader interface improved clean air system
5944996, Nov 03 1995 UNIVERSITY OF NORTH CAROLINA AT CHAPEL HILL, THE Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
5955140, Nov 16 1995 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
5965025, Jun 12 1991 Idaho Research Foundation, Inc. Fluid extraction
5975492, Jul 14 1997 Bellows driver slot valve
5976264, Oct 16 1996 International Business Machines Corporation Removal of fluorine or chlorine residue by liquid CO2
5979306, Mar 26 1997 Kabushiki Kaisha Kobe Seiko Sho Heating pressure processing apparatus
5980648, Feb 19 1991 Linde Aktiengesellschaft Cleaning of workpieces having organic residues
5981399, Feb 15 1995 Renesas Electronics Corporation Method and apparatus for fabricating semiconductor devices
5989342, Jan 30 1996 Dainippon Screen Mfg, Co., Ltd. Apparatus for substrate holding
5992680, Jan 29 1996 FRANKLIN ELECTRIC CO , INC Slidable sealing lid apparatus for subsurface storage containers
5994696, Jan 27 1997 California Institute of Technology; City of Hope MEMS electrospray nozzle for mass spectroscopy
6005226, Nov 24 1997 Steag-RTP Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
6017820, Jul 17 1998 MATTSON THERMAL PRODUCTS, INC Integrated vacuum and plating cluster system
6021791, Jun 29 1998 Z CAP, L L C Method and apparatus for immersion cleaning of semiconductor devices
6024801, May 31 1995 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
6029371, Sep 17 1997 Tokyo Electron Limited Drying treatment method and apparatus
6035871, Mar 18 1997 ALPS ELECTRIC CO , LTD Apparatus for producing semiconductors and other devices and cleaning apparatus
6037277, Nov 16 1995 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
6053348, Dec 31 1997 Pivotable and sealable cap assembly for opening in a large container
6056008, Sep 22 1997 Fisher Controls International LLC Intelligent pressure regulator
6063714, Jan 24 1996 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
6067728, Mar 17 1997 S C FLUIDS INC Supercritical phase wafer drying/cleaning system
6077053, Apr 10 1997 Kabushiki Kaisha Kobe Seiko Sho Piston type gas compressor
6077321, Nov 08 1996 Dainippon Screen Mfg. Co., Ltd. Wet/dry substrate processing apparatus
6082150, Nov 09 1994 Eminent Technologies LLC; MHF CORPORATION System for rejuvenating pressurized fluid solvents used in cleaning substrates
6085935, Aug 10 1998 BANK OF AMERICA, N A , AS ADMINISTRATIVE AGENT Pressure vessel door operating apparatus
6097015, May 22 1995 HEALTHBRIDGE, INC Microwave pressure vessel and method of sterilization
6099619, Oct 09 1997 VICI METRONICS, INC Purification of carbon dioxide
6100198, Feb 27 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Post-planarization, pre-oxide removal ozone treatment
6110232, Oct 01 1998 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
6114044, May 30 1997 Regents of the University of California, The Method of drying passivated micromachines by dewetting from a liquid-based process
6122566, Mar 03 1998 Applied Materials, Inc Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
6128830, May 15 1999 Dean, Bettcher; Christopher, Kubinski Apparatus and method for drying solid articles
6140252, Jun 23 1994 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
6145519, Nov 11 1996 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece cleaning method and apparatus
6149828, May 05 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Supercritical etching compositions and method of using same
6159295, Nov 16 1995 Texas Instruments Incorporated Limited-volume apparatus for forming thin film aerogels on semiconductor substrates
6164297, Jun 13 1997 Tokyo Electron Limited Cleaning and drying apparatus for objects to be processed
6171645, Nov 16 1995 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
6186722, Feb 26 1997 Fujitsu Limited Chamber apparatus for processing semiconductor devices
6200943, May 28 1998 MICELL TECHNOLOGIES, INC Combination surfactant systems for use in carbon dioxide-based cleaning formulations
6203582, Jul 15 1996 Applied Materials Inc Modular semiconductor workpiece processing tool
6216364, Apr 14 1998 KAIJO CORPORATION Method and apparatus for drying washed objects
6224774, May 02 1997 The University of North Carolina at Chapel Hill Method of entraining solid particulates in carbon dioxide fluids
6228563, Sep 17 1999 Novellus Systems, Inc Method and apparatus for removing post-etch residues and other adherent matrices
6228826, Aug 29 1997 MiCell Technologies, Inc. End functionalized polysiloxane surfactants in carbon dioxide formulations
6232238, Feb 08 1999 United Microelectronics Corp Method for preventing corrosion of bonding pad on a surface of a semiconductor wafer
6232417, Sep 12 1997 International Business Machines Corporation Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
6235634, Oct 08 1997 APPLIED KOMATSU TECHNOLOGY, INC Modular substrate processing system
6239038, Oct 13 1995 Method for chemical processing semiconductor wafers
6241825, Apr 16 1999 CuTek Research Inc. Compliant wafer chuck
6242165, Aug 28 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Supercritical compositions for removal of organic material and methods of using same
6244121, Mar 06 1998 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
6251250, Sep 03 1999 TEL NEXX, INC Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
6255732, Aug 14 1998 NEC Electronics Corporation Semiconductor device and process for producing the same
6270531, Aug 29 1997 MICELL TECHNOLOGIES, INC End functionalized polysiloxane surfactants in carbon dioxide formulations
6270948, Aug 22 1996 Kabushiki Kaisha Toshiba Method of forming pattern
6277753, Sep 28 1998 Tokyo Electron Limited Removal of CMP residue from semiconductors using supercritical carbon dioxide process
6284558, Nov 25 1997 Gold Charm Limited Active matrix liquid-crystal display device and method for making the same
6286231, Jan 12 2000 Applied Materials Inc Method and apparatus for high-pressure wafer processing and drying
6305677, Mar 30 1999 Lam Research Corporation Perimeter wafer lifting
6306564, May 27 1997 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
6319858, Jul 11 2000 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
6331487, Sep 28 1998 Tokyo Electron Limited Removal of polishing residue from substrate using supercritical fluid process
6334266, Sep 20 1999 S C FLUIDS, INC Supercritical fluid drying system and method of use
6344174, Jan 25 1999 MSA Technology, LLC; Mine Safety Appliances Company, LLC Gas sensor
6344243, May 30 1997 MiCell Technologies, Inc. Surface treatment
6355072, Oct 15 1999 Eminent Technologies LLC; MHF CORPORATION Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
6358673, Sep 09 1998 Nippon Telegraph and Telephone Corporation Pattern formation method and apparatus
6361696, Jan 19 2000 MORGAN STANLEY SENIOR FUNDING, INC Self-regenerative process for contaminant removal from liquid and supercritical CO2 fluid streams
6367491, Jun 30 1992 Southwest Research Institute Apparatus for contaminant removal using natural convection flow and changes in solubility concentration by temperature
6380105, Nov 14 1996 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
6388317, Sep 25 2000 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
6389677, Mar 30 1999 Lam Research Corporation Perimeter wafer lifting
6418956, Nov 15 2000 PLAST-O-MATIC VALVES, INC Pressure controller
6425956, Jan 05 2001 International Business Machines Corporation Process for removing chemical mechanical polishing residual slurry
6436824, Jul 02 1999 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
6451510, Feb 21 2001 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
6454519, Apr 19 1990 Applied Materials, Inc. Dual cassette load lock
6454945, Jun 16 1995 Washington, University of Microfabricated devices and methods
6458494, Apr 29 1999 LG Electronics, Inc. Etching method
6461967, Mar 14 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Material removal method for forming a structure
6464790, Jul 11 1997 Applied Materials, Inc Substrate support member
6465403, May 18 1998 AVANTOR PERFORMANCE MATERIALS, LLC Silicate-containing alkaline compositions for cleaning microelectronic substrates
6472334, Apr 07 2000 CANON MARKETING JAPAN, INC ; Canon Kabushiki Kaisha Film forming method, semiconductor device manufacturing method, and semiconductor device
6478035, Aug 05 1999 Tokyo Electron Limited Cleaning device, cleaning system, treating device and cleaning method
6479407, Aug 14 1998 NEC Electronics Corporation Semiconductor device and process for producing the same
6485895, Apr 21 1999 Samsung Electronics Co., Ltd. Methods for forming line patterns in semiconductor substrates
6486078, Aug 22 2000 GLOBALFOUNDRIES Inc Super critical drying of low k materials
6487792, May 08 2000 Tokyo Electron Limited Method and apparatus for agitation of workpiece in high pressure environment
6487994, Jul 23 1999 HYDROELECTRON VENTURES INC Sub-critical water-fuel composition and combustion system
6492090, Apr 28 2000 Shin-Etsu Chemical Co., Ltd. Polymers, resist compositions and patterning process
6500605, May 27 1997 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
6503837, Mar 29 2001 Macronix International Co. Ltd. Method of rinsing residual etching reactants/products on a semiconductor wafer
6508259, Aug 05 1999 S C FLUIDS, INC Inverted pressure vessel with horizontal through loading
6509136, Jun 27 2001 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
6509141, May 27 1997 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
6520767, Apr 26 1999 HYDROELECTRON VENTURES INC Fuel delivery system for combusting fuel mixtures
6521466, Apr 17 2002 Apparatus and method for semiconductor wafer test yield enhancement
6537916, Sep 28 1998 Tokyo Electron Limited Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process
6541278, Jan 27 1999 Matsushita Electric Industrial Co., Ltd. Method of forming film for semiconductor device with supercritical fluid
6546946, Sep 07 2000 CORE INDUSTRIES, INC Short-length reduced-pressure backflow preventor
6550484, Dec 07 2001 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
6554507, Sep 09 1998 Nippon Telegraph and Telephone Corporation Pattern formation method and apparatus
6558475, Apr 10 2000 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
6561213, Jul 24 2000 MORGAN STANLEY SENIOR FUNDING, INC Fluid distribution system and process, and semiconductor fabrication facility utilizing same
6561220, Apr 23 2001 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
6561481, Aug 13 2001 Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
6561767, Aug 01 2001 THAR INSTRUMENTS, INC Converting a pump for use in supercritical fluid chromatography
6561774, Jun 02 2000 Tokyo Electron Limited Dual diaphragm pump
6562146, Feb 15 2001 MICELL TECHNOLOGIES, INC Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
6564826, Jul 24 2001 Flow regulator for water pump
6576138, Dec 14 2000 PRAXAIR TECHNOLOGY, INC Method for purifying semiconductor gases
6583067, Jul 03 2001 Marlin Semiconductor Limited Method of avoiding dielectric layer deterioration with a low dielectric constant
6596093, Feb 15 2001 MICELL TECHNOLOGIES, INC Methods for cleaning microelectronic structures with cyclical phase modulation
6613157, Feb 15 2001 MICELL TECHNOLOGIES, INC Methods for removing particles from microelectronic structures
6623355, Nov 07 2000 MICELL TECHNOLOGIES, INC Methods, apparatus and slurries for chemical mechanical planarization
6635565, Feb 20 2001 United Microelectronics Corp. Method of cleaning a dual damascene structure
6635582, Mar 13 1998 SAMSUNG ELECTRONICS CO , LTD Method of manufacturing semiconductor device
6641678, Feb 15 2001 MICELL TECHNOLOGIES, INC Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
6656666, Dec 22 2000 GOOGLE LLC Topcoat process to prevent image collapse
6669916, Feb 12 2001 Praxair Technology, Inc. Method and apparatus for purifying carbon dioxide feed streams
6673521, Dec 12 2000 GOOGLE LLC Supercritical fluid(SCF) silylation process
6677244, Sep 10 1998 Hitachi, Ltd. Specimen surface processing method
6685903, Mar 01 2001 Praxair Technology, Inc. Method of purifying and recycling argon
6722642, Nov 06 2002 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
6736149, Nov 02 1999 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
6737725, Aug 31 2000 GLOBALFOUNDRIES U S INC Multilevel interconnect structure containing air gaps and method for making
6748960, Nov 02 1999 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
6748966, Sep 04 2001 Combination air gap for dish washer and soap dispenser
6764552, Apr 18 2002 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
6777312, Nov 02 2000 California Institute of Technology Wafer-level transfer of membranes in semiconductor processing
6780765, Aug 14 1998 Nanospin Solutions Integrated circuit trenched features and method of producing same
6802961, Mar 13 2000 HITACHI HIGH-TECH CORPORATION Dense fluid cleaning centrifugal phase shifting separation process and apparatus
6852194, May 21 2001 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
6871656, May 27 1997 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
6890853, Apr 25 2000 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
6921456, Jul 26 2000 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
6924086, Feb 15 2002 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
6926012, Nov 02 1999 Tokyo Electron Limited Method for supercritical processing of multiple workpieces
6926798, Nov 02 1999 Tokyo Electron Limited Apparatus for supercritical processing of a workpiece
6928746, Feb 15 2002 TOKYO ELECTRONI LIMITED Drying resist with a solvent bath and supercritical CO2
6953654, Mar 14 2002 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
20020001929,
20020046707,
20020117391,
20020189543,
20030003762,
20030013311,
20030047533,
20030106573,
20030125225,
20030196679,
20030198895,
20030202792,
20040011386,
20040020518,
20040040660,
20040045588,
20040087457,
20040091813,
20040103922,
20040112409,
20040134515,
20040136770,
20040157463,
20040177867,
20040211440,
20040221871,
20040259357,
20040261710,
20050077597,
20050158477,
20050203789,
20050215072,
20050216228,
20060003592,
20060102204,
CHE251213,
CN1399790,
DE19860084,
DE3608783,
DE3904514,
DE3906724,
DE3906735,
DE3906737,
DE4004111,
DE4344021,
DE4429470,
EP244951,
EP272141,
EP283740,
EP302345,
EP370233,
EP391035,
EP453867,
EP518653,
EP536752,
EP572913,
EP587168,
EP620270,
EP679753,
EP711864,
EP726099,
EP727711,
EP822583,
EP829312,
EP836895,
EP903775,
FR1499491,
GB2003975,
GB2193482,
JP10144757,
JP10335408,
JP1045131,
JP11200035,
JP1246835,
JP2000106358,
JP2148841,
JP2209729,
JP2304941,
JP4284648,
JP56142629,
JP60192333,
JP602348479,
JP60246635,
JP61017151,
JP61231166,
JP62099619,
JP62111442,
JP63256326,
JP63303059,
JP7142333,
JP8186140,
JP8222508,
WO2004008249,
WO36635,
WO73241,
WO110733,
WO133613,
WO133615,
WO155628,
WO168279,
WO174538,
WO178911,
WO185391,
WO194782,
WO209894,
WO211191,
WO215251,
WO216051,
WO3030219,
WO3064065,
WO8707309,
WO9006189,
WO9013675,
WO9112629,
WO9314255,
WO9314259,
WO9320116,
WO96277704,
WO9918603,
WO9949998,
//
Executed onAssignorAssigneeConveyanceFrameReelDoc
May 12 2005KEVWITCH, ROBERTTokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0160110020 pdf
May 13 2005Tokyo Electron Limited(assignment on the face of the patent)
Date Maintenance Fee Events
Jul 08 2011ASPN: Payor Number Assigned.
Apr 18 2014REM: Maintenance Fee Reminder Mailed.
Sep 07 2014EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Sep 07 20134 years fee payment window open
Mar 07 20146 months grace period start (w surcharge)
Sep 07 2014patent expiry (for year 4)
Sep 07 20162 years to revive unintentionally abandoned end. (for year 4)
Sep 07 20178 years fee payment window open
Mar 07 20186 months grace period start (w surcharge)
Sep 07 2018patent expiry (for year 8)
Sep 07 20202 years to revive unintentionally abandoned end. (for year 8)
Sep 07 202112 years fee payment window open
Mar 07 20226 months grace period start (w surcharge)
Sep 07 2022patent expiry (for year 12)
Sep 07 20242 years to revive unintentionally abandoned end. (for year 12)