Apparatuses and methods for cooling and transferring wafers from low pressure environment to high pressure environment are provided. An apparatus may include a cooling pedestal and a set of supports for holding the wafer above the cooling pedestal. The average gap between the wafer and the cooling pedestal may be no greater than about 0.010 inches. venting gases may be used to increase the pressure inside the apparatus during the transfer. In certain embodiment, venting gases comprise nitrogen.
|
1. A load lock for cooling wafers after processing, the load lock comprising:
(a) an inlet transfer port for receiving the wafers after processing;
(b) an outlet transfer port for removing the wafers after cooling;
(c) a pedestal having a surface for absorbing heat from the wafers, said surface being structured to prevent a venting gas from passing through the pedestal while absorbing heat from the wafers;
(d) a venting non-process gas port for delivering a venting gas above the pedestal surface to increase pressure inside the load lock, the venting gas port shaped as a ring and comprising an opening facing a center of the ring, the opening configured to direct the venting non-process gas above and parallel to front surfaces of the wafers upon leaving the opening, wherein a diameter of the ring is smaller than a diameter of the wafers; and
(e) at least three supports provided on the pedestal surface, wherein said supports have heights which provide an average gap between one of the wafers and the pedestal surface of no greater than about 0.010 inches, when the one of the wafers is supported by the supports.
23. A method of cooling and transferring a wafer from a low pressure side to a high pressure side using a load lock, the method comprising:
(a) providing the wafer into the load lock;
(b) positioning the wafer on supports provided on a pedestal having a surface for absorbing heat from the wafers, said surface being structured to prevent a venting gas from passing through the pedestal while absorbing heat from the wafers;
(c) closing an inlet transfer port;
(d) increasing pressure inside the load lock by delivering a venting non-process gas through a venting gas port shaped as a ring and comprising an opening facing a center of the ring, wherein a diameter of the ring is smaller than a diameter of the wafer and wherein the venting non-process gas is delivered parallel above and parallel to a front side of the wafer and to keeps the wafer in contact with at least 70% of the supports by creating pressure differential at a center of the wafer such that pressure at the front side of the wafer is greater than pressure at a back side of the wafer forcing at least the center of the wafer towards the supports; and
(e) opening an outlet transfer port and removing the wafer.
4. The load lock of
5. The load lock of
6. The load lock of
7. The load lock of
8. The load lock of
11. The load lock of
12. The load lock of
14. The load lock of
15. The load lock of
16. The load lock of
18. The load lock of
20. The load lock of
21. The load lock of
(a) providing the wafer to the load lock;
(b) positioning the wafer on the supports;
(c) closing the inlet transfer port;
(d) controlling pressure inside the load lock to keep the wafer in contact with at least 70% of the supports; and
(e) opening the outlet transfer port and removing the wafer.
22. The load lock of
24. The method of
25. The method of
26. The method of
27. The method of
28. The method of
|
The present invention relates generally to methods and apparatus for transferring wafers using load locks and more particularly to methods and apparatus for cooling wafers while being transferred between lower pressure and higher pressure environments.
Many semiconductor manufacturing operations are performed at low pressures and high temperatures. Processing modules are often kept at low pressures while wafers are transferred between low and high pressure environments using load locks. Load locks effectively isolate two environments and eliminate the need for repeatedly cycling processing modules, which typically have large internal volumes, between different pressure levels. Instead, only small volume load locks are cycled during wafer transfers. Some configurations include several processing modules integrated with one or more internal wafer handling modules on the low pressure side of the processing system. Wafers may go through several processes without being transferred to a high pressure environment.
After processing, wafers must be removed from a low pressure processing module and placed into an atmospheric environment for, e.g., storage. Such wafers may need to be cooled to certain temperatures before being exposed to oxygen to prevent oxidation, out-gassing, and damage to storage modules. Rapid but uniform cooling is highly desired but is often difficult to achieve. To maintain high throughput, only a few seconds are afforded for the entire transfer process. Wafers often need to be cooled by more than 200° C. usually by positioning wafers close to a cold surface, e.g. a cooling pedestal. Often wafers are not flat and require relatively large set distances between the cold surface and a default wafer position to avoid direct contact. Since it is not practical to adjust the distances individually for each wafer, the separation distance must be set to non-optimum value (corresponding to the worst possible wafer deformation), resulting in generally poor heat transfer. Thus, load locks employ expensive venting gases such as helium, which has a high heat transfer coefficient, and even then prolonged cooling periods are required. A non-uniform gap between the cooling surface and the wafer also causes uneven cooling, leaving a hot spot in the areas bowing up (away) from the cooling surface, which could cause excessive stress possibly leading to wafer breakage.
Some solutions include electrostatic or vacuum clamping mechanisms to modify the shape of heat deformed wafers. Unfortunately, these solutions require large contact areas with wafer backsides, thereby increasing the risk of damaging the wafers and uneven cooling at contact points. Furthermore, the required clamping mechanisms are complex and expensive.
Therefore, there is a need for improved methods and apparatus that provide effective cooling during wafer transfer in load locks.
The present invention provides a load lock where a wafer is separated from a cooling pedestal by no more than about 0.010 inch on average (over the surface of the wafer supported by the pedestal). Such small gaps allow use of inexpensive venting gases and shorten the required cooling time. Bowed wafers are flattened against the contact pins of a pedestal by a designed pressure differential between the front side and back side of the wafer. The differential is created by controlling the flow of the venting gas inside the load lock. This condition can be maintained by an inventive combination of dynamically controlling pressure distribution inside the load lock, venting gas ports (particularly their shape, position, and orientation), venting gas flow rates, flow paths, and other parameters.
In one embodiment, a load lock includes an inlet and outlet transfer ports, a pedestal with a surface for absorbing heat from the wafers, a venting gas port located above pedestal surface and configured for delivering venting gases, and a set of supports provided on a pedestal surface. In certain embodiments, the set includes at least four supports having heights that provide an average gap between the wafer and the pedestal surface of no greater than about 0.010 inches, when the wafer is located on the supports. In more specific embodiments, the average gas is no greater than about 0.005 inches and in even more specific embodiments no greater than about 0.002 inches.
The venting gas port may be defined by a lid and a body of the load lock. In certain embodiments, the opening of the venting gas port has a diameter of between about 4 inches and 8 inches, or more specifically between about 6 inches and 6.5 inches. In the same or other embodiments, the width of the opening has width of between about 0.010 inches and 0.100 inches. A venting gas source may be coupled to the port and provide a venting gas that is substantially free of helium. In certain embodiments, the venting gas includes nitrogen.
The shape of the pedestal inside the load lock may be designed to provide uniform heat transfer. In certain embodiments, the pedestal surface has a convex shape. The difference between gaps around the edge and the center of the wafer may be between about 0.001 inches and 0.010 inches. In more specific embodiments, this difference is between about 0.001 inches and 0.003 inches.
Supports are distributed over the pedestal surface to control wafer's profile relative to the pedestal surface. At least ten supports are provided in certain embodiments. The supports may be arranged in at least two rings. The first ring is positioned between about 4 inches and 6 inches from the center of the pedestal, and the second ring is positioned between about 1 inches and 3 inches. At least six supports may be provided within the first ring and at least three supports within the second ring. The tips of the supports may provide a flat plane above the surface of the pedestal.
Supports may have different designs and be made from materials chosen to ensure adequate support of the wafer without causing damage. For example, supports may have rounded tips. In certain embodiments, the supports have diameter of between about 0.020 inches and 0.125 inches. The supports may be made from a thermally insulating material. In the same or other embodiments, the supports include an electrically conductive ceramic material.
The load lock may also have an associated controller containing program instructions for providing a wafer into the load lock, positioning the wafer on the supports, closing the inlet transfer port, controlling pressure inside the load lock to keep the wafer in contact with at least 70% of the supports, and then opening the outlet transfer port and removing the wafer. Certain embodiments of this invention include a method of practicing the above listed instructions. The pressure may be controlled by providing a venting gas that is substantially free of helium. The pressure may be increased at a rate of at least 30 Torr per seconds during the controlling operation. In the same or other embodiments, the venting gas may be provided at a flow rate between about 10 and 50 standard liters per minute. The pressure controlling operation may last less than 15 seconds. The temperature of the wafer may decrease by at least 200 degrees Centigrade during this time.
These and other features and advantages of the invention will be set forth below in more detail below with reference to the associated drawings.
In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail to not unnecessarily obscure the present invention. While the invention will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the invention to the embodiments.
Load locks are used to transfer wafers between environments at two different pressure levels. Load locks are also often used to cool wafers when transferring them from a low pressure processing side to an atmospheric pressure storage side. For the purposes of this description, “low pressure” and “high pressure” are terms applying to many different pressure regimes. In general they represent two different pressures, usually in the context of a low pressure side of a load lock and a high pressure side of load lock. In certain embodiments, “low pressure” refers to a pressure between about 10−9 Torr (1 nanoTorr) and 100 Torr. In a more specific range, a low pressure is between about 5×10−4 Torr (0.5 mTorr) and 1 Torr. In another embodiment, the low pressure is between 20 Torr and 60 Torr. In many applications calling for load locks, a process producing hot wafers is performed at low pressure.
Any pressure level above the low pressure is referred to as “high pressure.” In certain embodiment, the high pressure is ambient pressure or thereabouts. In other embodiments, the low pressure is less than ambient pressure.
In the context of this description, “venting” is increasing the pressure inside the load lock by, e.g., supplying one or more of the venting gases. The load lock is typically equipped with a venting port to introduce the venting gas. A transfer and cooling process may have one or more venting cycles.
“Pumping” or “vacuuming” refers to reduction of the pressure inside the load lock by, e.g., opening a vacuum port and letting gases escape from the load lock. A vacuum pump or a vacuum facility line may be attached to the vacuum port. In certain embodiments, venting coincides with pumping. For example, both venting and vacuum ports are open and venting gases are introduced and removed from the load lock at the same time. This may be done, for example, to control pressure differential on opposite sides of the wafer irrespectively of the pressure changes inside the load lock.
Wafers may come from processing modules at temperatures substantially higher than acceptable for exposing wafers to ambient conditions and placing wafers into storage modules. For example, wafers are at about 350° C. after a typical CVD process. They must be cooled to below 70° C. before exposure to oxygen. It takes only two to three seconds for an internal transfer system to move a wafer from a processing module to a load lock and a few more seconds (typically 10-15 seconds) to bring the load lock to atmospheric pressure before the wafer is removed. Usually, no additional time is allowed for the wafer to cool in order to ensure high process throughput.
A wafer starts cooling immediately after it is exposed to colder surroundings. It may start with removal from the heated pedestal of the processing module. The temperature gradient is usually the greatest at this moment. However, the heat transfer rate may still be low if the surrounding gas is at low pressure, e.g. below 100 Torr and often as low 1 Torr and even lower. The combination of these two factors, high temperature gradient and low heat transfer coefficient, may result in gradual heat loss from the wafer during the transfer. In one example, a wafer loses between about 10 and 40° C. from its initial temperature in the processing module during the first 1 to 5 seconds of the transfer process.
While in the load lock, the wafer continues to lose heat. In certain embodiments, the wafer is positioned on top of a cooling pedestal that removes significant amounts of heat from the wafer. The amount of heat transferred depends on the distance between the wafer and the cooling pedestal in addition to other factors. Smaller distances provide for better heat transfer. However, the wafer should not touch the pedestal in order to avoid cold spots, particle contamination, and mechanical damage.
All wafers arrive from the processing modules having distorted shapes (i.e., they deviate from truly flat). However, the relative magnitude of the distortion can vary widely; the total variability of wafer shape can be ˜0.030 inches. With helium (which has a high heat transfer coefficient), an appropriate gap is ˜0.035 inches; with nitrogen (having a much lower heat transfer coefficient) the gap must be much smaller, e.g., ˜0.005 inches. A difficult problem to solve is consistently (from wafer-to-wafer) maintaining a 0.005 inch gap while confronting a 0.030 inch variability in wafer shape. A challenge facing the inventors was to find a way to reproducibly flatten heated wafers in a load lock and at the same time greatly reduce the average distance between the wafer surface and a heat transfer surface (e.g., a pedestal) to allow rapid cooling in the presence of a gas with a relatively poor heat transfer.
In certain embodiments further described below, a small gap is maintained by minimum contact area (MCA) supports extending slightly above the top surface of the pedestal. The MCA supports are positioned in such way as to define a plane with their tips. In some examples, the top surface of the pedestal has a curvature or some other non-planar shape. Such shapes result in some parts of the pedestal, usually the edges, being further away from the wafer than others, usually the center. In this example, a “center gap” is a gap corresponding to the center of the horizontally aligned wafer, and an “edge gap” is the one corresponding to the edge of such wafer. In specific embodiments, the edge gap is the same along the entire perimeter of the wafer. An “average gap” is a numerical average of the center gap and the edge gap.
A difference between the edge and center gaps is used to achieve uniform cooling. Without efforts to even out heat transfer over the radius of a wafer, the wafer will tend to cool faster from the edges. In certain embodiments, the pedestal profile is driven by the profile of the venting gas flow rates between the wafer and the pedestal. In certain embodiments, the venting gas is introduced into the load lock from outside the pedestal and flows into the gap between the pedestal and the wafer. The flow rate is highest around the edges of the wafer and lowest at the center. Heat transfer between a surface and fluid is greater if the fluid in contact with the surface has higher velocity. Therefore, in some embodiments, the edge gap may be greater than the center gap to compensate for higher flow rates of the venting gas around the edge of the wafer. In other words, a convex pedestal shape is employed to promote heat transfer from the center of the wafer.
Apparatus
The load locks 206 are cycled between the low pressure and the high pressure keeping the external side isolated from the processing side. This approach eliminates the need to vent and then pump the entire low pressure side, e.g. the internal wafer handling module 208 and the processing modules 211, after each processing of each wafer. In certain embodiments, the load locks 206 are designed to have minimal internal volumes sufficient to accommodate one or more wafers and provide access to robot arms of wafer handling systems. In certain embodiments, the volume of the load locks 206 may be between about 1 and 10 liters. In more specific embodiments, the load lock volume may be between about 2 and 5 liters.
The low pressure side may include one or more internal wafer handling modules 208 and one or more processing modules 211, such as one or more Physical Vapor Deposition (PVD) chambers, Chemical Vapor Deposition (CVD) chambers, Atomic Layer Deposition (ALD) chambers, degas modules, pre-clean modules, reactive pre-clean (RPC) modules, cooling modules, additional load-locks, a backbone and other types of modules. While an illustrative example of
The invention is not limited to the semiconductor wafer processing system configurations described above. For example, one or more multi-station reactors may be coupled to a transfer module that is coupled to one or more load locks. Suitable semiconductor processing tools, for example, include the Novellus Sequel, Inova, Altus, Speed, and different Vector systems (e.g., Vector Extreme, Vector Express, Vector AHM), produced by Novellus Systems of San Jose, Calif. The reactors need not be multi-station reactors, but may be single station reactors. Similarly, the load locks may be multiple wafer load locks, for example dual wafer load locks.
The processing system 200 may include a system controller 210 that may receive feedback signals from various modules of the system and supply back control signals back to the same or other modules. The system controller 210 may control operation of the load locks 206, such as timing of the cycles, pressure levels, timing and flow rates of venting and purging gases, pumping, and many other process variables. In general aspects of the present invention, the control system 210 may synchronize the operation of the load locks 206 with respect to other modules, such as the external wafer handling module 204 and the internal wafer handling module 208. In more specific aspects of the present invention, the system controller 210 may control operation of valves and flow meters of the venting and purging gas lines and/or the vacuum lines of the load locks 206 and mechanisms opening and closing internal and external ports of the load locks 206. The system controller 210 may be part of an overall system-wide controller that is responsible for operations of the various processing modules, the backbone, etc.
In the depicted embodiment, the system controller 210 is employed to control process conditions when providing the substrate wafer to the load lock, closing the transfer port of the load lock, venting the load lock, pumping the load lock, opening the load lock's ports, and removing the wafer.
The system controller 210 may include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller or they may be provided over a network.
In certain embodiments, the system controller 210 controls all of the activities of the processing system. The system controller executes system control software including sets of instructions for controlling the timing of the processing operations, pressure levels, flow rates, and other parameters of a particular process. Other computer programs, scripts or routines stored on memory devices associated with the controller may be employed in some embodiments.
Typically, there is a user interface associated with the system controller 210. The user interface may include a display screen, graphical software to display process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
The computer program code for controlling the above operations can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the processing system.
The cooling pedestal 310 is typically made out of aluminum, stainless steel, or any other suitable thermally conductive materials. The cooling pedestal 310 may have a set of channels for circulating a cooling media through the pedestal. In certain embodiments, the cooling media may be primarily water or other suitable liquid maintained at between about, e.g., 15° C. and 35° C. In other embodiments, other circulating heat transfer media are used; e.g., super-cooled nitrogen or galden. The cooling pedestal 310 may have a drive 318 attached for moving the pedestal 310 in a vertical direction between its lowered (shown in
The cooling pedestal 310 may have a set of alignment cones 314 for horizontal alignment of the wafer 306 relative to the cooling pedestal 310. Other embodiments (not shown) employ moving parts such as an appropriately configured wafer lift that do not require alignment features. In certain embodiments, the pedestal 310 has a set of minimum contact area (MCA) supports 312 for a vertical alignment and for re-shaping or flattening the wafer 306 when it is warped. The depicted load lock is equipped with intermediate support pins 308 made out of stainless steel or any other suitable material. The load lock also has different adapters for connecting venting, purging, and vacuuming lines (not shown). The load lock has two transfer ports 315 and 316 for accessing load lock from low and high pressure sides and brining the wafer 306 in and out. One transfer port 315 may be designated as an external transfer port for accessing the high pressure side. Another transfer port 316 may be designated as an internal transfer port for accessing the low pressure side.
A few operations of the overall transferring process are presented to further illustrate the configuration and function of the load lock's elements in accordance with certain embodiments. When internal transfer port 316 is opened, the pedestal 310 may be placed in its lowered position (as shown in
The cooling pedestal 310 is then raised by the drive 318. The wafer 306 is lifted from the intermediate support pins 308 and is supported by the MCA supports 312 as shown in
The MCA supports 406 extend from the cooling pedestal 402 and define (with their tips) a plane above the pedestal 402. In one embodiment, the tips deviate from the plane by less than about 0.001 inches. In a more specific embodiment, the deviation from that plane is about 0.0002 inches or less, and in an even more specific case about 0.0005 inches or less. The MCA supports 406 may be spaced relative to each other to provide the least sag of the wafer 404 during cooling.
In some embodiments, the MCA supports 406 and/or the alignment cones 408 are made of any conductive materials that provide not only adequate support and alignment of the wafer, but as well discharge of static electricity from the backside and edges of the wafer 404. In a specific embodiment, the MCA supports 406 and/or the alignment cones 408 are made from electrically conductive ceramics, such as Cerastat having volume resistivity between 103 to 1012 Ohm-cm. The cooling pedestal 402 provides electrical connection for the MCA supports 406 and/or the alignment cones 408 to the electrical ground.
The MCA support tips may have various shapes. In one embodiment, the MCA supports 406 have rounded tips that provide very little contact area with the wafer, thereby reducing the risk of damage and minimizing localized heat transfer spikes. A direct contact between the wafer and an MCA support results in much higher heat transfer at the point of contact than in other areas where heat transfer is dependent primarily on a venting gas and proximity of the cooling pedestal. Reducing a contact area of each MCA support and a number of supports will minimize the localized heat transfer spikes. In another embodiment, the MCA supports 406 are shaped as cylinders having flat tops.
In certain embodiments, the top surface of the wafer cooling pedestal 402 (i.e. the surface facing the backside) is curved. In one embodiment, the radius of curvature is between about 1,000 inches and 10,000 inches. These radius values may provide for a difference between the edge and center gaps of 0.0174 inches and 0.0017 inches respectively for 300 mm wafers. In a more specific embodiment, the radius of curvature may be between about 4,000 inches and 8,000 inches corresponding to 0.0044 inches and 0.0022 inches gap differences for the same wafer size. The center gap may be already preset to between about 0.001 inches and 0.020 inches or more specifically to between about 0.002 inches and 0.010 inches. The table below presents various examples of pedestal designs (characterized in terms of a center gap and a radius of curvature).
TABLE 1
Radius of
Gap
Center Gap [inches]
Curvature
Delta
0.001 - 0.01
0.002 - 0.01
[inches]
[inches]
Average Gap [inches]
1,000
0.0174
0.0097 - 0.0187
0.0107 - 0.0187
10,000
0.0017
0.0019 - 0.0109
0.0029 - 0.0109
4,000
0.0044
0.0032 - 0.0122
0.0042 - 0.0122
8,000
0.0022
0.0021 - 0.0111
0.0031 - 0.0111
The venting gas then passes into the second channel 514. The second channel 514 may also have a profile of a rectangular ring or any other suitable shape. The second channel 514 may have a width (H1) of between about 0.125 inches and 1.000 inches, more specifically between about 0.250 inches and 0.500 inches. The length of the second channel, i.e. the distance between the first channel and the third channel, may also be between about 0.010 inches and 0.125 inches, more specifically between about 0.015 inches and 0.045 inches.
The venting gas then proceeds from the second channel and into the third channel 516. The third channel 516 may also have a rectangular or other ring profile. It may have a width (H2) of between about 0.010 inches and 0.125 inches, more specifically between about 0.015 inches and 0.045 inches. The length of the third channel 512 may be between about 0.010 inches and 0.125 inches, more specifically between about 0.015 inches and 0.045 inches. These dimensions should be carefully chosen for a given load lock and wafer combination to ensure even flow and not create too large of a pressure differential. The third channel 516 directs the venting gas towards the center of the chamber, above the wafer. Introducing gas in this direction prevents a jet of gas from being oriented directly towards the wafer, which eliminates one mode of defects resulting from bonding to the wafer via direct impact. Preferably venting gas flow towards the wafer only as a result of load lock interior fluid dynamics. Upon leaving the third channel the venting gas is driven by the pressure differential to the different areas of the load lock.
Returning to
In certain embodiments, a small gap between the pedestal 510 and the backside 506b may cause excessive pressure differential because the venting gas passes more slowly through smaller gaps causing slower pressure increase between the wafer and the pedestal. Too much pressure on the front side of the wafer may damage the wafer by, for example, causing excessive distortion or high mechanical stresses in the wafer. Thus, certain embodiments provide mechanisms or procedures to limit the pressure on the wafer front side. In one example, the pedestal 510 may include small grooves on its top surface to facilitate distributing the venting gas between the pedestal and the backside 506b of the wafer. Alternatively (or additionally), some of the venting gas may be supplied through the cooling pedestal 510 towards the center and other parts of the wafer 506.
In certain embodiments, pressure gradients (between the front side and the backside of the wafer) are designed to be low enough to prevent wafer distortion greater than 0.0002 inches in between wafer supports. This will be a function of the elasticity of the substrate, the distance between supports, and the pressure gradient created. Only about 0.001 psi pressure differential is required to flatten most domed wafers distorted due to compressive film stress. In certain embodiments, the pressure differential is on the order of about 0.0015 psi or greater. In the same or other embodiments, the pressure differential is less than about 1 psi. Generally, the upper and the lower pressure limits are determined by MCA support spacing, MCA support design, elasticity of the wafer, and other parameters.
The robot arm is then retracted from the load lock (block 610), and the internal transfer port is closed (block 614) sealing the load lock from the low pressure side. Closing of the transfer port (block 614) may occur at any point between retracting of the robot arm and introducing the venting gases into the load lock. The pedestal is raised (block 612), and it lifts the wafer from the intermediate support pins with the MCA supports. The wafer may not be in contact with all MCA supports at this point. For example, the wafer may have distorted shape and only few of MCA supports contact with the low areas of the wafer. The pressure is uniform throughout the entire load lock at this point. Additionally, the wafer may be aligned relative to the cooling pedestal by the alignment cones. In one embodiment, loading of the wafer into the load lock takes between about 1 and 5 seconds, and the wafer may lose between about 10° C. and 50° C. during this time.
Once the wafer is positioned on the MCA supports, the venting cycle is initiated (block 616). One or more venting gases are introduced into the load lock through the venting port in the lid. The flow rate may be constant or variable. In certain embodiments, a variable flow rate is used to overcome variability of the temperature gradient during the venting cycle and thereby provide uniform heat transfer. The average flow rate depends on the internal volume of the load lock, which may be between about 1 L and 100 L and the duration of the venting cycle. In one embodiment employing a load lock having an internal volume of 2 and 10 liters, the flow rate is between about 10 and 50 standard liters per minute (SLM). In a more specific embodiment, the flow rate of the venting gas may be between about 20 and 40 SLM.
Various venting gases may be used. The choice depends primarily on cost and heat transfer coefficient. Of course, the gas should be inert to the wafer as well. Examples of suitable venting gases (depending on application) include hydrogen, helium, neon, methane, nitrogen, carbon oxide, ethane, ethylene, argon, butene, and combinations of thereof. In a specific embodiment, a venting gas is nitrogen or gas mixture that is primarily nitrogen. In another specific embodiment, a venting gas is primarily helium. Traditionally, helium has been used as a heat transfer gas because of its high heat transfer coefficient. Unfortunately, helium is relatively expensive. Because the present invention allows the wafer to sit very close to the pedestal, one may employ gases with lower heat transfer coefficients, which may be relatively inexpensive. Nitrogen is one such gas.
A combination of venting gases may be used. Such combination may have a constant or a variable composition. For example, the venting cycle may start with only helium flowing into the load lock, while nitrogen is introduced later. In this example, helium's flow rate may be gradually decreased, and the nitrogen's flow rate may be increased. In another embodiment, the flow of the first gas may be instantly shut, while another gas may be introduced at this point. A certain total flow rate of the venting gases may be needed to maintain sufficient pressure differential across the wafer in the load lock.
During the venting cycle (block 616) the load lock is brought from its initial low pressure to a final high pressure. Three examples of the pressure profiles within the load lock during the transfer process are discussed in the context of
At least during part of the venting cycle, sufficient pressure differential is created for flattening of the wafer. In certain embodiments, the pressure differential around the center of the wafer is at least about 0.001 psi, more specifically at least about 0.002 psi, and in some cases at least about 0.010 psi. The backside of the wafer may come in the contact with all or most of the MCA support. In one embodiment, the sufficient pressure differential is maintained for at least about 70% of the entire venting operation (block 616) duration. In a more specific embodiment, it is maintained for at least about 90% of the entire venting operation duration.
Upon completion of the venting cycle (block 616), the pressure of the load lock is the same as on the high pressure side. The external transfer port of the load lock is opened and the purging cycle may be performed (block 618). The purging cycle involves providing one or more inert gases, such as argon, helium, nitrogen, or any other gases, to shield the wafer from oxidation at least during initial removal operations. The inert gases may be supplied through the venting port or a separate purging port. In one embodiment, no pressure differential across the wafer is maintained at this point.
The pedestal is then lowered and the wafer is kept on the intermediate support pins as indicated in block 620. Creating the increased gap between the wafer and the pedestal allows the robot arm of the external wafer handling system to reach under the wafer, lift the wafer from the pins, and remove it from the load lock (operation 622). It should be noted that flattening of the wafer in order to reduce the spacing to a pedestal surface and thereby improve the heat transfer between the wafer and the surface may be used for heating of the wafer. In other words, the concepts of this invention can be employed heat or cool wafers; it is possible to raise pressure during the heating or cooling cycle. In certain embodiments, the invention applies during a constant pressure operation with adequate pumping to exert force on the backside of the wafer.
Alternatively, the pressure may be more rapidly increased at the beginning of the venting phase as shown in the pressure profile 710. This may rapidly increase the heat transfer coefficient and at the same time provide a greater initial pressure differential for flattening the wafer. In another embodiment, the pressure is slowly increased at the beginning and then more rapidly increased towards the end of the venting phase as shown in the profile 712. The selection of a particular pressure profile may be based on a desired temperature change profile for wafer during the transfer, heat conductivities of venting gases, duration of the venting phase, pressure differential requirements, and other parameters.
Upon completion of the venting phase 704, the pressure inside the load lock is approximately the same as the external high pressure. At this point the purging/unloading phase 706 is initiated. The external transfer port may be opened and purging gases are introduced into the load lock. The duration of this phase may be between 1 and 20 seconds. In a specific embodiment, the purging/unloading phase may last for 3 to 10 seconds.
Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems and apparatus of the present invention. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein.
Cohen, David, Kalyanasundaram, Nagarajan, Pomeroy, Charles E., Gage, Christopher
Patent | Priority | Assignee | Title |
10023960, | Sep 12 2012 | ASM IP Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
10083836, | Jul 24 2015 | ASM IP Holding B.V.; ASM IP HOLDING B V | Formation of boron-doped titanium metal films with high work function |
10134757, | Nov 07 2016 | ASM IP Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
10229833, | Nov 01 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
10236177, | Aug 22 2017 | ASM IP HOLDING B V | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
10249524, | Aug 09 2017 | ASM IP Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
10249577, | May 17 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
10262859, | Mar 24 2016 | ASM IP Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
10269558, | Dec 22 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of forming a structure on a substrate |
10276355, | Mar 12 2015 | ASM IP Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
10283353, | Mar 29 2017 | ASM IP HOLDING B V | Method of reforming insulating film deposited on substrate with recess pattern |
10290508, | Dec 05 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for forming vertical spacers for spacer-defined patterning |
10312055, | Jul 26 2017 | ASM IP Holding B.V. | Method of depositing film by PEALD using negative bias |
10312116, | Apr 11 2014 | Applied Materials, Inc. | Methods and apparatus for rapidly cooling a substrate |
10312129, | Sep 29 2015 | ASM IP Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
10319588, | Oct 10 2017 | ASM IP HOLDING B V | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
10322384, | Nov 09 2015 | ASM IP Holding B.V.; ASM IP HOLDING B V | Counter flow mixer for process chamber |
10340125, | Mar 08 2013 | ASM IP Holding B.V. | Pulsed remote plasma method and system |
10340135, | Nov 28 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
10343920, | Mar 18 2016 | ASM IP HOLDING B V | Aligned carbon nanotubes |
10347547, | Aug 09 2016 | Lam Research Corporation | Suppressing interfacial reactions by varying the wafer temperature throughout deposition |
10361201, | Sep 27 2013 | ASM IP Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
10364496, | Jun 27 2011 | ASM IP Holding B.V. | Dual section module having shared and unshared mass flow controllers |
10366864, | Mar 18 2013 | ASM IP Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
10367080, | May 02 2016 | ASM IP HOLDING B V | Method of forming a germanium oxynitride film |
10378106, | Nov 14 2008 | ASM IP Holding B.V. | Method of forming insulation film by modified PEALD |
10381219, | Oct 25 2018 | ASM IP Holding B.V. | Methods for forming a silicon nitride film |
10381226, | Jul 27 2016 | ASM IP Holding B.V. | Method of processing substrate |
10388509, | Jun 28 2016 | ASM IP Holding B.V. | Formation of epitaxial layers via dislocation filtering |
10388513, | Jul 03 2018 | ASM IP Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
10395919, | Jul 28 2016 | ASM IP HOLDING B V | Method and apparatus for filling a gap |
10403504, | Oct 05 2017 | ASM IP HOLDING B V | Method for selectively depositing a metallic film on a substrate |
10410943, | Oct 13 2016 | ASM IP Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
10435790, | Nov 01 2016 | ASM IP Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
10438965, | Dec 22 2014 | ASM IP Holding B.V. | Semiconductor device and manufacturing method thereof |
10443146, | Mar 30 2017 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
10446393, | May 08 2017 | ASM IP Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
10458018, | Jun 26 2015 | ASM IP Holding B.V.; ASM IP HOLDING B V | Structures including metal carbide material, devices including the structures, and methods of forming same |
10468251, | Feb 19 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
10468261, | Feb 15 2017 | ASM IP HOLDING B V | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
10468262, | Feb 15 2017 | ASM IP Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
10480072, | Apr 06 2009 | ASM IP HOLDING B V | Semiconductor processing reactor and components thereof |
10483099, | Jul 26 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for forming thermally stable organosilicon polymer film |
10501866, | Mar 09 2016 | ASM IP Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
10504742, | May 31 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of atomic layer etching using hydrogen plasma |
10510536, | Mar 29 2018 | ASM IP Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
10529542, | Mar 11 2015 | ASM IP Holdings B.V. | Cross-flow reactor and method |
10529554, | Feb 19 2016 | ASM IP Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
10529563, | Mar 29 2017 | ASM IP Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
10535516, | Feb 01 2018 | ASM IP Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
10541173, | Jul 08 2016 | ASM IP Holding B.V. | Selective deposition method to form air gaps |
10541333, | Jul 19 2017 | ASM IP Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
10559458, | Nov 26 2018 | ASM IP Holding B.V. | Method of forming oxynitride film |
10561975, | Oct 07 2014 | ASM IP Holdings B.V. | Variable conductance gas distribution apparatus and method |
10566223, | Aug 28 2012 | ASM IP Holdings B.V.; ASM IP HOLDING B V | Systems and methods for dynamic semiconductor process scheduling |
10590535, | Jul 26 2017 | ASM IP HOLDING B V | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
10600673, | Jul 07 2015 | ASM IP Holding B.V.; ASM IP HOLDING B V | Magnetic susceptor to baseplate seal |
10604847, | Mar 18 2014 | ASM IP Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
10605530, | Jul 26 2017 | ASM IP Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
10607895, | Sep 18 2017 | ASM IP HOLDING B V | Method for forming a semiconductor device structure comprising a gate fill metal |
10612136, | Jun 29 2018 | ASM IP HOLDING B V ; ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
10612137, | Jul 08 2016 | ASM IP HOLDING B V | Organic reactants for atomic layer deposition |
10622375, | Nov 07 2016 | ASM IP Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
10643826, | Oct 26 2016 | ASM IP HOLDING B V | Methods for thermally calibrating reaction chambers |
10643904, | Nov 01 2016 | ASM IP HOLDING B V | Methods for forming a semiconductor device and related semiconductor device structures |
10644025, | Nov 07 2016 | ASM IP Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
10655221, | Feb 09 2017 | ASM IP Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
10658181, | Feb 20 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of spacer-defined direct patterning in semiconductor fabrication |
10658205, | Sep 28 2017 | ASM IP HOLDING B V | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
10665452, | May 02 2016 | ASM IP Holdings B.V. | Source/drain performance through conformal solid state doping |
10672636, | Aug 09 2017 | ASM IP Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
10683571, | Feb 25 2014 | ASM IP Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
10685834, | Jul 05 2017 | ASM IP Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
10692741, | Aug 08 2017 | ASM IP Holdings B.V.; ASM IP HOLDING B V | Radiation shield |
10707106, | Jun 06 2011 | ASM IP Holding B.V.; ASM IP HOLDING B V | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
10714315, | Oct 12 2012 | ASM IP Holdings B.V.; ASM IP HOLDING B V | Semiconductor reaction chamber showerhead |
10714335, | Apr 25 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of depositing thin film and method of manufacturing semiconductor device |
10714350, | Nov 01 2016 | ASM IP Holdings, B.V.; ASM IP HOLDING B V | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
10714385, | Jul 19 2016 | ASM IP Holding B.V. | Selective deposition of tungsten |
10720322, | Feb 19 2016 | ASM IP Holding B.V. | Method for forming silicon nitride film selectively on top surface |
10720331, | Nov 01 2016 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
10731249, | Feb 15 2018 | ASM IP HOLDING B V | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
10734223, | Oct 10 2017 | ASM IP Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
10734244, | Nov 16 2017 | ASM IP Holding B.V. | Method of processing a substrate and a device manufactured by the same |
10734497, | Jul 18 2017 | ASM IP HOLDING B V | Methods for forming a semiconductor device structure and related semiconductor device structures |
10741385, | Jul 28 2016 | ASM IP HOLDING B V | Method and apparatus for filling a gap |
10755922, | Jul 03 2018 | ASM IP HOLDING B V | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
10755923, | Jul 03 2018 | ASM IP Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
10767789, | Jul 16 2018 | ASM IP Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
10770286, | May 08 2017 | ASM IP Holdings B.V.; ASM IP HOLDING B V | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
10770336, | Aug 08 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Substrate lift mechanism and reactor including same |
10784102, | Dec 22 2016 | ASM IP Holding B.V. | Method of forming a structure on a substrate |
10787741, | Aug 21 2014 | ASM IP Holding B.V. | Method and system for in situ formation of gas-phase compounds |
10797133, | Jun 21 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
10804098, | Aug 14 2009 | ASM IP HOLDING B V | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
10811256, | Oct 16 2018 | ASM IP Holding B.V. | Method for etching a carbon-containing feature |
10818758, | Nov 16 2018 | ASM IP Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
10829852, | Aug 16 2018 | ASM IP Holding B.V. | Gas distribution device for a wafer processing apparatus |
10832903, | Oct 28 2011 | ASM IP Holding B.V. | Process feed management for semiconductor substrate processing |
10844484, | Sep 22 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
10844486, | Apr 06 2009 | ASM IP HOLDING B V | Semiconductor processing reactor and components thereof |
10847365, | Oct 11 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of forming conformal silicon carbide film by cyclic CVD |
10847366, | Nov 16 2018 | ASM IP Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
10847371, | Mar 27 2018 | ASM IP Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
10851456, | Apr 21 2016 | ASM IP Holding B.V. | Deposition of metal borides |
10854498, | Jul 15 2011 | ASM IP Holding B.V.; ASM JAPAN K K | Wafer-supporting device and method for producing same |
10858737, | Jul 28 2014 | ASM IP Holding B.V.; ASM IP HOLDING B V | Showerhead assembly and components thereof |
10865475, | Apr 21 2016 | ASM IP HOLDING B V | Deposition of metal borides and silicides |
10867786, | Mar 30 2018 | ASM IP Holding B.V. | Substrate processing method |
10867788, | Dec 28 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of forming a structure on a substrate |
10872771, | Jan 16 2018 | ASM IP Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
10883175, | Aug 09 2018 | ASM IP HOLDING B V | Vertical furnace for processing substrates and a liner for use therein |
10886123, | Jun 02 2017 | ASM IP Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
10892156, | May 08 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
10896820, | Feb 14 2018 | ASM IP HOLDING B V | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
10910262, | Nov 16 2017 | ASM IP HOLDING B V | Method of selectively depositing a capping layer structure on a semiconductor device structure |
10914004, | Jun 29 2018 | ASM IP Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
10916451, | Jun 23 2017 | Applied Materials, Inc. | Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems |
10923344, | Oct 30 2017 | ASM IP HOLDING B V | Methods for forming a semiconductor structure and related semiconductor structures |
10928731, | Sep 21 2017 | ASM IP Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
10934619, | Nov 15 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Gas supply unit and substrate processing apparatus including the gas supply unit |
10941490, | Oct 07 2014 | ASM IP Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
10943771, | Oct 26 2016 | ASM IP Holding B.V. | Methods for thermally calibrating reaction chambers |
10950432, | Apr 25 2017 | ASM IP Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
10975470, | Feb 23 2018 | ASM IP Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
11001925, | Dec 19 2016 | ASM IP Holding B.V. | Substrate processing apparatus |
11004977, | Jul 19 2017 | ASM IP Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
11015245, | Mar 19 2014 | ASM IP Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
11018002, | Jul 19 2017 | ASM IP Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
11018047, | Jan 25 2018 | ASM IP Holding B.V. | Hybrid lift pin |
11022879, | Nov 24 2017 | ASM IP Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
11024523, | Sep 11 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Substrate processing apparatus and method |
11031242, | Nov 07 2018 | ASM IP Holding B.V. | Methods for depositing a boron doped silicon germanium film |
11049751, | Sep 14 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
11053591, | Aug 06 2018 | ASM IP Holding B.V. | Multi-port gas injection system and reactor system including same |
11056344, | Aug 30 2017 | ASM IP HOLDING B V | Layer forming method |
11056567, | May 11 2018 | ASM IP Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
11069510, | Aug 30 2017 | ASM IP Holding B.V. | Substrate processing apparatus |
11075127, | Aug 09 2016 | Lam Research Corporation | Suppressing interfacial reactions by varying the wafer temperature throughout deposition |
11081345, | Feb 06 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method of post-deposition treatment for silicon oxide film |
11087997, | Oct 31 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Substrate processing apparatus for processing substrates |
11088002, | Mar 29 2018 | ASM IP HOLDING B V | Substrate rack and a substrate processing system and method |
11094546, | Oct 05 2017 | ASM IP Holding B.V. | Method for selectively depositing a metallic film on a substrate |
11094582, | Jul 08 2016 | ASM IP Holding B.V. | Selective deposition method to form air gaps |
11098404, | Sep 29 2018 | Applied Materials, Inc | Multi-station chamber lid with precise temperature and flow control |
11101370, | May 02 2016 | ASM IP Holding B.V. | Method of forming a germanium oxynitride film |
11107676, | Jul 28 2016 | ASM IP Holding B.V. | Method and apparatus for filling a gap |
11114283, | Mar 16 2018 | ASM IP Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
11114294, | Mar 08 2019 | ASM IP Holding B.V. | Structure including SiOC layer and method of forming same |
11127589, | Feb 01 2019 | ASM IP Holding B.V. | Method of topology-selective film formation of silicon oxide |
11127617, | Nov 27 2017 | ASM IP HOLDING B V | Storage device for storing wafer cassettes for use with a batch furnace |
11139191, | Aug 09 2017 | ASM IP HOLDING B V | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
11139308, | Dec 29 2015 | ASM IP Holding B.V.; ASM IP HOLDING B V | Atomic layer deposition of III-V compounds to form V-NAND devices |
11158513, | Dec 13 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
11164955, | Jul 18 2017 | ASM IP Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
11168395, | Jun 29 2018 | ASM IP Holding B.V. | Temperature-controlled flange and reactor system including same |
11171025, | Jan 22 2019 | ASM IP Holding B.V. | Substrate processing device |
11177048, | Nov 20 2019 | Applied Materials Israel Ltd | Method and system for evaluating objects |
11205585, | Jul 28 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Substrate processing apparatus and method of operating the same |
11208732, | Mar 30 2017 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
11217444, | Nov 30 2018 | ASM IP HOLDING B V | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
11222772, | Dec 14 2016 | ASM IP Holding B.V. | Substrate processing apparatus |
11227782, | Jul 31 2019 | ASM IP Holding B.V. | Vertical batch furnace assembly |
11227789, | Feb 20 2019 | ASM IP Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
11230766, | Mar 29 2018 | ASM IP HOLDING B V | Substrate processing apparatus and method |
11232963, | Oct 03 2018 | ASM IP Holding B.V. | Substrate processing apparatus and method |
11233133, | Oct 21 2015 | ASM IP Holding B.V. | NbMC layers |
11242598, | Jun 26 2015 | ASM IP Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
11244825, | Nov 16 2018 | ASM IP Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
11251035, | Dec 22 2016 | ASM IP Holding B.V. | Method of forming a structure on a substrate |
11251040, | Feb 20 2019 | ASM IP Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
11251068, | Oct 19 2018 | ASM IP Holding B.V. | Substrate processing apparatus and substrate processing method |
11270899, | Jun 04 2018 | ASM IP Holding B.V. | Wafer handling chamber with moisture reduction |
11274369, | Sep 11 2018 | ASM IP Holding B.V. | Thin film deposition method |
11282698, | Jul 19 2019 | ASM IP Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
11286558, | Aug 23 2019 | ASM IP Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
11286562, | Jun 08 2018 | ASM IP Holding B.V. | Gas-phase chemical reactor and method of using same |
11289326, | May 07 2019 | ASM IP Holding B.V. | Method for reforming amorphous carbon polymer film |
11295980, | Aug 30 2017 | ASM IP HOLDING B V | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
11296189, | Jun 21 2018 | ASM IP Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
11306395, | Jun 28 2017 | ASM IP HOLDING B V | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
11315794, | Oct 21 2019 | ASM IP Holding B.V. | Apparatus and methods for selectively etching films |
11339476, | Oct 08 2019 | ASM IP Holding B.V. | Substrate processing device having connection plates, substrate processing method |
11342216, | Feb 20 2019 | ASM IP Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
11345999, | Jun 06 2019 | ASM IP Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
11355338, | May 10 2019 | ASM IP Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
11361990, | May 28 2018 | ASM IP Holding B.V. | Substrate processing method and device manufactured by using the same |
11374112, | Jul 19 2017 | ASM IP Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
11378337, | Mar 28 2019 | ASM IP Holding B.V. | Door opener and substrate processing apparatus provided therewith |
11387106, | Feb 14 2018 | ASM IP Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
11387120, | Sep 28 2017 | ASM IP Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
11390945, | Jul 03 2019 | ASM IP Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
11390946, | Jan 17 2019 | ASM IP Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
11393690, | Jan 19 2018 | ASM IP HOLDING B V | Deposition method |
11396702, | Nov 15 2016 | ASM IP Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
11398382, | Mar 27 2018 | ASM IP Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
11401605, | Nov 26 2019 | ASM IP Holding B.V. | Substrate processing apparatus |
11410851, | Feb 15 2017 | ASM IP Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
11411088, | Nov 16 2018 | ASM IP Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
11414760, | Oct 08 2018 | ASM IP Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
11417545, | Aug 08 2017 | ASM IP Holding B.V. | Radiation shield |
11424119, | Mar 08 2019 | ASM IP HOLDING B V | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
11430640, | Jul 30 2019 | ASM IP Holding B.V. | Substrate processing apparatus |
11430674, | Aug 22 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
11437241, | Apr 08 2020 | ASM IP Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
11443926, | Jul 30 2019 | ASM IP Holding B.V. | Substrate processing apparatus |
11447861, | Dec 15 2016 | ASM IP HOLDING B V | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
11447864, | Apr 19 2019 | ASM IP Holding B.V. | Layer forming method and apparatus |
11453943, | May 25 2016 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
11453946, | Jun 06 2019 | ASM IP Holding B.V. | Gas-phase reactor system including a gas detector |
11469098, | May 08 2018 | ASM IP Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
11473195, | Mar 01 2018 | ASM IP Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
11476109, | Jun 11 2019 | ASM IP Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
11482412, | Jan 19 2018 | ASM IP HOLDING B V | Method for depositing a gap-fill layer by plasma-assisted deposition |
11482418, | Feb 20 2018 | ASM IP Holding B.V. | Substrate processing method and apparatus |
11482533, | Feb 20 2019 | ASM IP Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
11488819, | Dec 04 2018 | ASM IP Holding B.V. | Method of cleaning substrate processing apparatus |
11488854, | Mar 11 2020 | ASM IP Holding B.V. | Substrate handling device with adjustable joints |
11492703, | Jun 27 2018 | ASM IP HOLDING B V | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11495459, | Sep 04 2019 | ASM IP Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
11499222, | Jun 27 2018 | ASM IP HOLDING B V | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11499226, | Nov 02 2018 | ASM IP Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
11501956, | Oct 12 2012 | ASM IP Holding B.V. | Semiconductor reaction chamber showerhead |
11501968, | Nov 15 2019 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for providing a semiconductor device with silicon filled gaps |
11501973, | Jan 16 2018 | ASM IP Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
11515187, | May 01 2020 | ASM IP Holding B.V.; ASM IP HOLDING B V | Fast FOUP swapping with a FOUP handler |
11515188, | May 16 2019 | ASM IP Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
11521851, | Feb 03 2020 | ASM IP HOLDING B V | Method of forming structures including a vanadium or indium layer |
11527400, | Aug 23 2019 | ASM IP Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
11527403, | Dec 19 2019 | ASM IP Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
11530483, | Jun 21 2018 | ASM IP Holding B.V. | Substrate processing system |
11530876, | Apr 24 2020 | ASM IP Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
11532757, | Oct 27 2016 | ASM IP Holding B.V. | Deposition of charge trapping layers |
11551912, | Jan 20 2020 | ASM IP Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
11551925, | Apr 01 2019 | ASM IP Holding B.V. | Method for manufacturing a semiconductor device |
11557474, | Jul 29 2019 | ASM IP Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
11562901, | Sep 25 2019 | ASM IP Holding B.V. | Substrate processing method |
11572620, | Nov 06 2018 | ASM IP Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
11581186, | Dec 15 2016 | ASM IP HOLDING B V | Sequential infiltration synthesis apparatus |
11581220, | Aug 30 2017 | ASM IP Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
11587814, | Jul 31 2019 | ASM IP Holding B.V. | Vertical batch furnace assembly |
11587815, | Jul 31 2019 | ASM IP Holding B.V. | Vertical batch furnace assembly |
11587821, | Aug 08 2017 | ASM IP Holding B.V. | Substrate lift mechanism and reactor including same |
11594450, | Aug 22 2019 | ASM IP HOLDING B V | Method for forming a structure with a hole |
11594600, | Nov 05 2019 | ASM IP Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
11605528, | Jul 09 2019 | ASM IP Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
11610774, | Oct 02 2019 | ASM IP Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
11610775, | Jul 28 2016 | ASM IP HOLDING B V | Method and apparatus for filling a gap |
11615970, | Jul 17 2019 | ASM IP HOLDING B V | Radical assist ignition plasma system and method |
11615980, | Feb 20 2019 | ASM IP Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
11626308, | May 13 2020 | ASM IP Holding B.V. | Laser alignment fixture for a reactor system |
11626316, | Nov 20 2019 | ASM IP Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
11629406, | Mar 09 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
11629407, | Feb 22 2019 | ASM IP Holding B.V. | Substrate processing apparatus and method for processing substrates |
11637011, | Oct 16 2019 | ASM IP Holding B.V. | Method of topology-selective film formation of silicon oxide |
11637014, | Oct 17 2019 | ASM IP Holding B.V. | Methods for selective deposition of doped semiconductor material |
11639548, | Aug 21 2019 | ASM IP Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
11639811, | Nov 27 2017 | ASM IP HOLDING B V | Apparatus including a clean mini environment |
11643724, | Jul 18 2019 | ASM IP Holding B.V. | Method of forming structures using a neutral beam |
11644758, | Jul 17 2020 | ASM IP Holding B.V. | Structures and methods for use in photolithography |
11646184, | Nov 29 2019 | ASM IP Holding B.V. | Substrate processing apparatus |
11646197, | Jul 03 2018 | ASM IP Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
11646204, | Jun 24 2020 | ASM IP Holding B.V.; ASM IP HOLDING B V | Method for forming a layer provided with silicon |
11646205, | Oct 29 2019 | ASM IP Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
11649546, | Jul 08 2016 | ASM IP Holding B.V. | Organic reactants for atomic layer deposition |
11658029, | Dec 14 2018 | ASM IP HOLDING B V | Method of forming a device structure using selective deposition of gallium nitride and system for same |
11658030, | Mar 29 2017 | ASM IP Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
11658035, | Jun 30 2020 | ASM IP HOLDING B V | Substrate processing method |
11664199, | Oct 19 2018 | ASM IP Holding B.V. | Substrate processing apparatus and substrate processing method |
11664245, | Jul 16 2019 | ASM IP Holding B.V. | Substrate processing device |
11664267, | Jul 10 2019 | ASM IP Holding B.V. | Substrate support assembly and substrate processing device including the same |
11674220, | Jul 20 2020 | ASM IP Holding B.V. | Method for depositing molybdenum layers using an underlayer |
11676812, | Feb 19 2016 | ASM IP Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
11680839, | Aug 05 2019 | ASM IP Holding B.V. | Liquid level sensor for a chemical source vessel |
11682572, | Nov 27 2017 | ASM IP Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
11685991, | Feb 14 2018 | ASM IP HOLDING B V ; Universiteit Gent | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
11688603, | Jul 17 2019 | ASM IP Holding B.V. | Methods of forming silicon germanium structures |
11694892, | Jul 28 2016 | ASM IP Holding B.V. | Method and apparatus for filling a gap |
11695054, | Jul 18 2017 | ASM IP Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
11705333, | May 21 2020 | ASM IP Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
11718913, | Jun 04 2018 | ASM IP Holding B.V.; ASM IP HOLDING B V | Gas distribution system and reactor system including same |
11725277, | Jul 20 2011 | ASM IP HOLDING B V | Pressure transmitter for a semiconductor processing environment |
11725280, | Aug 26 2020 | ASM IP Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
11735414, | Feb 06 2018 | ASM IP Holding B.V. | Method of post-deposition treatment for silicon oxide film |
11735422, | Oct 10 2019 | ASM IP HOLDING B V | Method of forming a photoresist underlayer and structure including same |
11735445, | Oct 31 2018 | ASM IP Holding B.V. | Substrate processing apparatus for processing substrates |
11742189, | Mar 12 2015 | ASM IP Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
11742198, | Mar 08 2019 | ASM IP Holding B.V. | Structure including SiOCN layer and method of forming same |
11746414, | Jul 03 2019 | ASM IP Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
11749562, | Jul 08 2016 | ASM IP Holding B.V. | Selective deposition method to form air gaps |
11767589, | May 29 2020 | ASM IP Holding B.V. | Substrate processing device |
11769670, | Dec 13 2018 | ASM IP Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
11769682, | Aug 09 2017 | ASM IP Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
11776846, | Feb 07 2020 | ASM IP Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
11781221, | May 07 2019 | ASM IP Holding B.V. | Chemical source vessel with dip tube |
11781243, | Feb 17 2020 | ASM IP Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
11795545, | Oct 07 2014 | ASM IP Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
11798830, | May 01 2020 | ASM IP Holding B.V. | Fast FOUP swapping with a FOUP handler |
11798834, | Feb 20 2019 | ASM IP Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
11798999, | Nov 16 2018 | ASM IP Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
11802338, | Jul 26 2017 | ASM IP Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
11804364, | May 19 2020 | ASM IP Holding B.V. | Substrate processing apparatus |
11804388, | Sep 11 2018 | ASM IP Holding B.V. | Substrate processing apparatus and method |
11810788, | Nov 01 2016 | ASM IP Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
11814715, | Jun 27 2018 | ASM IP Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11814747, | Apr 24 2019 | ASM IP Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
11821078, | Apr 15 2020 | ASM IP HOLDING B V | Method for forming precoat film and method for forming silicon-containing film |
11823866, | Apr 02 2020 | ASM IP Holding B.V. | Thin film forming method |
11823876, | Sep 05 2019 | ASM IP Holding B.V.; ASM IP HOLDING B V | Substrate processing apparatus |
11827978, | Aug 23 2019 | ASM IP Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
11827981, | Oct 14 2020 | ASM IP HOLDING B V | Method of depositing material on stepped structure |
11828707, | Feb 04 2020 | ASM IP Holding B.V. | Method and apparatus for transmittance measurements of large articles |
11830730, | Aug 29 2017 | ASM IP HOLDING B V | Layer forming method and apparatus |
11830738, | Apr 03 2020 | ASM IP Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
11837483, | Jun 04 2018 | ASM IP Holding B.V. | Wafer handling chamber with moisture reduction |
11837494, | Mar 11 2020 | ASM IP Holding B.V. | Substrate handling device with adjustable joints |
11840761, | Dec 04 2019 | ASM IP Holding B.V. | Substrate processing apparatus |
11848200, | May 08 2017 | ASM IP Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
11851755, | Dec 15 2016 | ASM IP Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
11866823, | Nov 02 2018 | ASM IP Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
11873557, | Oct 22 2020 | ASM IP HOLDING B V | Method of depositing vanadium metal |
11876008, | Jul 31 2019 | ASM IP Holding B.V. | Vertical batch furnace assembly |
11876356, | Mar 11 2020 | ASM IP Holding B.V. | Lockout tagout assembly and system and method of using same |
11885013, | Dec 17 2019 | ASM IP Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
11885020, | Dec 22 2020 | ASM IP Holding B.V. | Transition metal deposition method |
11885023, | Oct 01 2018 | ASM IP Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
11887857, | Apr 24 2020 | ASM IP Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
11891696, | Nov 30 2020 | ASM IP Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
11898242, | Aug 23 2019 | ASM IP Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
11898243, | Apr 24 2020 | ASM IP Holding B.V. | Method of forming vanadium nitride-containing layer |
11901175, | Mar 08 2019 | ASM IP Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
11901179, | Oct 28 2020 | ASM IP HOLDING B V | Method and device for depositing silicon onto substrates |
11908684, | Jun 11 2019 | ASM IP Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
11908733, | May 28 2018 | ASM IP Holding B.V. | Substrate processing method and device manufactured by using the same |
11915929, | Nov 26 2019 | ASM IP Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
11923181, | Nov 29 2019 | ASM IP Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
11923190, | Jul 03 2018 | ASM IP Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
11929251, | Dec 02 2019 | ASM IP Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
11939673, | Feb 23 2018 | ASM IP Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
11946137, | Dec 16 2020 | ASM IP HOLDING B V | Runout and wobble measurement fixtures |
11952658, | Jun 27 2018 | ASM IP Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11956977, | Dec 29 2015 | ASM IP Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
11959168, | Apr 29 2020 | ASM IP HOLDING B V ; ASM IP Holding B.V. | Solid source precursor vessel |
11959171, | Jan 17 2019 | ASM IP Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
11961741, | Mar 12 2020 | ASM IP Holding B.V. | Method for fabricating layer structure having target topological profile |
11967488, | Feb 01 2013 | ASM IP Holding B.V. | Method for treatment of deposition reactor |
11970766, | Dec 15 2016 | ASM IP Holding B.V. | Sequential infiltration synthesis apparatus |
11972944, | Jan 19 2018 | ASM IP Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
11976359, | Jan 06 2020 | ASM IP Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
11976361, | Jun 28 2017 | ASM IP Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
11986868, | Feb 28 2020 | ASM IP Holding B.V. | System dedicated for parts cleaning |
11987881, | May 22 2020 | ASM IP Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
11993843, | Aug 31 2017 | ASM IP Holding B.V. | Substrate processing apparatus |
11993847, | Jan 08 2020 | ASM IP HOLDING B V | Injector |
11996289, | Apr 16 2020 | ASM IP HOLDING B V | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
11996292, | Oct 25 2019 | ASM IP Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
11996304, | Jul 16 2019 | ASM IP Holding B.V. | Substrate processing device |
11996309, | May 16 2019 | ASM IP HOLDING B V ; ASM IP Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
12055863, | Jul 17 2020 | ASM IP Holding B.V. | Structures and methods for use in photolithography |
12057314, | May 15 2020 | ASM IP Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
12074022, | Aug 27 2020 | ASM IP Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
12087586, | Apr 15 2020 | ASM IP HOLDING B V | Method of forming chromium nitride layer and structure including the chromium nitride layer |
12106944, | Jun 02 2020 | ASM IP Holding B.V. | Rotating substrate support |
12106965, | Feb 15 2017 | ASM IP Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
12107000, | Jul 10 2019 | ASM IP Holding B.V. | Substrate support assembly and substrate processing device including the same |
12107005, | Oct 06 2020 | ASM IP Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
12112940, | Jul 19 2019 | ASM IP Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
12119220, | Dec 19 2019 | ASM IP Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
12119228, | Jan 19 2018 | ASM IP Holding B.V. | Deposition method |
12125700, | Jan 16 2020 | ASM IP Holding B.V. | Method of forming high aspect ratio features |
12129545, | Dec 22 2020 | ASM IP Holding B.V. | Precursor capsule, a vessel and a method |
12129548, | Jul 18 2019 | ASM IP Holding B.V. | Method of forming structures using a neutral beam |
12130084, | Apr 24 2020 | ASM IP Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
12131885, | Dec 22 2020 | ASM IP Holding B.V. | Plasma treatment device having matching box |
12148609, | Sep 16 2020 | ASM IP HOLDING B V | Silicon oxide deposition method |
12154824, | Aug 14 2020 | ASM IP Holding B.V. | Substrate processing method |
12159788, | Dec 14 2020 | ASM IP Holding B.V. | Method of forming structures for threshold voltage control |
12169361, | Jul 30 2019 | ASM IP HOLDING B V | Substrate processing apparatus and method |
12173402, | Feb 15 2018 | ASM IP Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
12173404, | Mar 17 2020 | ASM IP Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
12176243, | Feb 20 2019 | ASM IP Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
8273670, | Dec 07 2006 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
8288288, | Jun 16 2008 | Novellus Systems, Inc. | Transferring heat in loadlocks |
8371567, | Apr 13 2011 | Novellus Systems, Inc. | Pedestal covers |
8454294, | Dec 11 2008 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
8491248, | Nov 30 2007 | Novellus Systems, Inc. | Loadlock designs and methods for using same |
8851463, | Apr 13 2011 | Novellus Systems, Inc. | Pedestal covers |
8920162, | Nov 08 2007 | Novellus Systems, Inc. | Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation |
8955406, | Sep 06 2011 | DAIHEN CORPORATION | Workpiece transfer apparatus |
9070750, | Mar 06 2013 | Novellus Systems, Inc | Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment |
9469912, | Apr 21 2014 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
9472377, | Oct 17 2014 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
9607822, | Apr 21 2014 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
9698042, | Jul 22 2016 | Lam Research Corporation | Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge |
9779971, | Apr 11 2014 | Applied Materials, Inc | Methods and apparatus for rapidly cooling a substrate |
9835388, | Jan 06 2012 | Novellus Systems, Inc | Systems for uniform heat transfer including adaptive portions |
9865501, | Mar 06 2013 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
D671901, | Apr 13 2011 | Novellus Systems, Inc. | Pedestal cover |
D880437, | Feb 01 2018 | ASM IP Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
D900036, | Aug 24 2017 | ASM IP Holding B.V.; ASM IP HOLDING B V | Heater electrical connector and adapter |
D903477, | Jan 24 2018 | ASM IP HOLDING B V | Metal clamp |
D913980, | Feb 01 2018 | ASM IP Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
D922229, | Jun 05 2019 | ASM IP Holding B.V. | Device for controlling a temperature of a gas supply unit |
D930782, | Aug 22 2019 | ASM IP Holding B.V. | Gas distributor |
D931978, | Jun 27 2019 | ASM IP Holding B.V. | Showerhead vacuum transport |
D935572, | May 24 2019 | ASM IP Holding B.V.; ASM IP HOLDING B V | Gas channel plate |
D940837, | Aug 22 2019 | ASM IP Holding B.V. | Electrode |
D944946, | Jun 14 2019 | ASM IP Holding B.V. | Shower plate |
D947913, | May 17 2019 | ASM IP Holding B.V.; ASM IP HOLDING B V | Susceptor shaft |
D948463, | Oct 24 2018 | ASM IP Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
D949319, | Aug 22 2019 | ASM IP Holding B.V. | Exhaust duct |
D965044, | Aug 19 2019 | ASM IP Holding B.V.; ASM IP HOLDING B V | Susceptor shaft |
D965524, | Aug 19 2019 | ASM IP Holding B.V. | Susceptor support |
D975665, | May 17 2019 | ASM IP Holding B.V. | Susceptor shaft |
D979506, | Aug 22 2019 | ASM IP Holding B.V. | Insulator |
D980813, | May 11 2021 | ASM IP HOLDING B V | Gas flow control plate for substrate processing apparatus |
D980814, | May 11 2021 | ASM IP HOLDING B V | Gas distributor for substrate processing apparatus |
D981973, | May 11 2021 | ASM IP HOLDING B V | Reactor wall for substrate processing apparatus |
ER1077, | |||
ER1413, | |||
ER1726, | |||
ER195, | |||
ER2810, | |||
ER315, | |||
ER3883, | |||
ER3967, | |||
ER4264, | |||
ER4403, | |||
ER4489, | |||
ER4496, | |||
ER4646, | |||
ER4732, | |||
ER6015, | |||
ER6261, | |||
ER6328, | |||
ER6881, | |||
ER7009, | |||
ER7365, | |||
ER7895, | |||
ER8714, | |||
ER8750, | |||
ER9386, | |||
ER9931, |
Patent | Priority | Assignee | Title |
3612825, | |||
4457359, | May 25 1982 | Varian Semiconductor Equipment Associates, Inc | Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
4535835, | May 25 1982 | Varian Semiconductor Equipment Associates, Inc | Optimum surface contour for conductive heat transfer with a thin flexible workpiece |
4563589, | Jan 09 1984 | Ultraviolet curing lamp device | |
4960488, | Dec 19 1986 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
5113929, | Apr 09 1990 | Anelva Corporation | Temperature control system for semiconductor wafer or substrate |
5178682, | Mar 01 1989 | Mitsubishi Denki Kabushiki Kaisha | Method for forming a thin layer on a semiconductor substrate and apparatus therefor |
5228208, | Jun 17 1991 | Applied Materials, Inc. | Method of and apparatus for controlling thermal gradient in a load lock chamber |
5282121, | Apr 30 1991 | Vari-Lite, Inc. | High intensity lighting projectors |
5308989, | Dec 22 1992 | Axcelis Technologies, Inc | Fluid flow control method and apparatus for an ion implanter |
5447431, | Oct 29 1993 | Brooks Automation, Inc | Low-gas temperature stabilization system |
5558717, | Nov 30 1994 | Applied Materials, Inc | CVD Processing chamber |
5588827, | Dec 17 1993 | Brooks Automation Inc. | Passive gas substrate thermal conditioning apparatus and method |
5811762, | Sep 25 1996 | Taiwan Semiconductor Manufacturing Company, Ltd. | Heater assembly with dual temperature control for use in PVD/CVD system |
5909994, | Nov 18 1996 | Applied Materials, Inc. | Vertical dual loadlock chamber |
6072163, | Mar 05 1998 | FSI International, Inc | Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
6087632, | Jan 11 1999 | Tokyo Electron Limited | Heat processing device with hot plate and associated reflector |
6106625, | Dec 02 1997 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
6200634, | May 26 1995 | Mattson Technology, Inc. | Thermal processing system with supplemental resistive heater and shielded optical pyrometry |
6214184, | May 14 1997 | Taiwan Semiconductor Manufacturing Company, Ltd | Insulated wafer pedestal |
6228438, | Aug 10 1999 | Tel Solar AG | Plasma reactor for the treatment of large size substrates |
6307184, | Jul 12 1999 | FSI International, Inc | Thermal processing chamber for heating and cooling wafer-like objects |
6394797, | Apr 02 1997 | Hitachi, Ltd. | Substrate temperature control system and method for controlling temperature of substrate |
6413321, | Dec 07 2000 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
6467491, | May 04 1999 | Toyko Electron Limited | Processing apparatus and processing method |
6518195, | Jun 27 1991 | Applied Materials, Inc | Plasma reactor using inductive RF coupling, and processes |
6559424, | Jan 02 2001 | MATTSON TECHNOLOGY, INC; BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY, CO , LTD | Windows used in thermal processing chambers |
6563092, | Nov 28 2001 | Novellus Systems, Inc. | Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry |
6639189, | Jun 06 2001 | FSI International, Inc. | Heating member for combination heating and chilling apparatus, and methods |
6860965, | Jun 23 2000 | Novellus Systems, Inc. | High throughput architecture for semiconductor processing |
6895179, | Mar 05 2002 | Hitachi High-Technologies Corporation | Wafer stage for wafer processing apparatus |
6899765, | Mar 29 2002 | Applied Materials Israel, Ltd. | Chamber elements defining a movable internal chamber |
7105463, | Sep 15 2000 | Applied Materials, Inc. | Load lock chamber having two dual slot regions |
7138606, | Mar 05 2002 | Hitachi High-Technologies Corporation | Wafer processing method |
7253125, | Apr 16 2004 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
7265061, | Sep 26 2003 | Novellus Systems, Inc. | Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties |
7327948, | Apr 26 2005 | Novellus Systems, Inc. | Cast pedestal with heating element and coaxial heat exchanger |
7410355, | Oct 31 2003 | ASM International N.V. | Method for the heat treatment of substrates |
7422406, | Nov 10 2003 | BROOKS AUTOMATION HOLDING, LLC; Brooks Automation US, LLC | Stacked process modules for a semiconductor handling system |
7576303, | Feb 21 2006 | SUMITOMO ELECTRIC INDUSTRIES, LTD | Wafer holder, and wafer prober provided therewith |
7665951, | Jun 02 2006 | Applied Materials, Inc | Multiple slot load lock chamber and method of operation |
7845891, | Jan 13 2006 | Applied Materials, Inc | Decoupled chamber body |
7941039, | Jul 18 2005 | Novellus Systems, Inc. | Pedestal heat transfer and temperature control |
7960297, | Dec 07 2006 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
20020005168, | |||
20020033136, | |||
20020117109, | |||
20020162630, | |||
20030013280, | |||
20030113187, | |||
20040023513, | |||
20040060917, | |||
20040183226, | |||
20040187790, | |||
20040194268, | |||
20050045616, | |||
20050258164, | |||
20060018639, | |||
20060081186, | |||
20060245852, | |||
20070107845, | |||
20070205788, | |||
20070243057, | |||
20090060480, | |||
20090142167, | |||
20090277472, | |||
20100270004, | |||
JP1107519, | |||
JP2005116655, | |||
JP6037054, | |||
JP62229833, | |||
JP7147274, | |||
JP9092615, | |||
KR1020030096732, | |||
WO60414, | |||
WO211911, |
Executed on | Assignor | Assignee | Conveyance | Frame | Reel | Doc |
Dec 05 2008 | GAGE, CHRISTOPHER | Novellus Systems, Inc | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 021967 | /0867 | |
Dec 05 2008 | POMEROY, CHARLES E | Novellus Systems, Inc | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 021967 | /0867 | |
Dec 05 2008 | COHEN, DAVID | Novellus Systems, Inc | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 021967 | /0867 | |
Dec 05 2008 | KALYANASUNDARAM, NAGARAJAN | Novellus Systems, Inc | ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS | 021967 | /0867 | |
Dec 11 2008 | Novellus Systems, Inc. | (assignment on the face of the patent) | / |
Date | Maintenance Fee Events |
Apr 13 2015 | M1551: Payment of Maintenance Fee, 4th Year, Large Entity. |
Apr 11 2019 | M1552: Payment of Maintenance Fee, 8th Year, Large Entity. |
Apr 11 2023 | M1553: Payment of Maintenance Fee, 12th Year, Large Entity. |
Date | Maintenance Schedule |
Oct 11 2014 | 4 years fee payment window open |
Apr 11 2015 | 6 months grace period start (w surcharge) |
Oct 11 2015 | patent expiry (for year 4) |
Oct 11 2017 | 2 years to revive unintentionally abandoned end. (for year 4) |
Oct 11 2018 | 8 years fee payment window open |
Apr 11 2019 | 6 months grace period start (w surcharge) |
Oct 11 2019 | patent expiry (for year 8) |
Oct 11 2021 | 2 years to revive unintentionally abandoned end. (for year 8) |
Oct 11 2022 | 12 years fee payment window open |
Apr 11 2023 | 6 months grace period start (w surcharge) |
Oct 11 2023 | patent expiry (for year 12) |
Oct 11 2025 | 2 years to revive unintentionally abandoned end. (for year 12) |