A device includes a crystalline material within an area confined by an insulator. In one embodiment, the area confined by the insulator is an opening in the insulator having an aspect ratio sufficient to trap defects using an ART technique. Method and apparatus embodiments of the invention can reduce edge effects in semiconductor devices. Embodiments of the invention can provide a planar surface over a buffer layer between a plurality of uncoalesced ART structures.

Patent
   8994070
Priority
Jul 01 2008
Filed
Dec 17 2013
Issued
Mar 31 2015
Expiry
Jun 30 2029
Assg.orig
Entity
Large
0
485
currently ok
7. A structure comprising:
a substrate comprising a first crystalline material;
a dielectric layer over the substrate and having a top surface, the dielectric layer defining at least a first opening from the top surface to the substrate;
a first region of a second crystalline material in the first opening, the second crystalline material being lattice mismatched to the first crystalline material, defects arising from the lattice mismatch within the first region terminating at a sidewall of the first opening;
a second region of a third crystalline material over the first region, the second region having a first lateral edge extending higher than the top surface of the dielectric layer;
a third region of a fourth material over the dielectric layer and disposed adjacent the first lateral edge, the third crystalline material in the second region being less defective than the fourth material; and
a device formed in and/or above the second region.
1. A structure comprising:
a substrate comprising a first crystalline material;
a dielectric layer defining at least a first opening and a second opening to the substrate;
a first region of a second crystalline material in the first opening and extending above the first opening, the second crystalline material being lattice mismatched to the first crystalline material, defects arising from the lattice mismatch within the first region terminating at a sidewall of the first opening, the first region having a first lateral edge above the dielectric layer;
a second region of the second crystalline material in the second opening and extending above the second opening, defects arising from the lattice mismatch within the second region terminating at a sidewall of the second opening, the second region having a second lateral edge above the dielectric layer;
a third material over the dielectric layer and disposed between the first lateral edge and the second lateral edge, the second crystalline material in respective portions of the first region and the second region above the dielectric layer being less defective than the third material; and
a device formed in and/or above at least one of the first region and the second region.
16. A structure comprising:
a first dielectric sidewall, a dielectric surface, and a second dielectric sidewall over a substrate comprising a first crystalline material, the dielectric surface extending from an upper edge of the first dielectric sidewall to an upper edge of the second dielectric sidewall;
a first region of a second crystalline material over the substrate and extending above the upper edge of the first dielectric sidewall, the second crystalline material being lattice mismatched to the first crystalline material, defects arising from the lattice mismatch within the first region terminating at the first dielectric sidewall, the first region having a first lateral edge above the dielectric surface;
a second region of the second crystalline material over the substrate and extending above the upper edge of the second dielectric sidewall, defects arising from the lattice mismatch within the second region terminating at the second dielectric sidewall, the second region having a second lateral edge above the dielectric surface;
a third material over the dielectric surface and disposed between the first lateral edge and the second lateral edge, the second crystalline material in respective portions of the first region and the second region above the respective upper edges being less defective than the third material; and
a device formed in and/or above at least one of the first region and the second region.
2. The structure of claim 1, wherein the third material is a different material from the second crystalline material.
3. The structure of claim 1, wherein the third material is amorphous.
4. The structure of claim 1, wherein the third material is polycrystalline.
5. The structure of claim 1, wherein no device is formed in the third material.
6. The structure of claim 1 further comprising a planar device layer over the second crystalline material and the third material, the device being formed at least partially in the planar device layer.
8. The structure of claim 7, wherein the second crystalline material and the third crystalline material are a same crystalline material.
9. The structure of claim 7, wherein the second crystalline material and the third crystalline material are different crystalline materials.
10. The structure of claim 7, wherein the second region of the third crystalline material comprises a strain, the first region of the second crystalline material inducing the strain.
11. The structure of claim 7, wherein the fourth material is amorphous.
12. The structure of claim 7, wherein the fourth material is polycrystalline.
13. The structure of claim 7 further comprising a planar device layer over the second region and the third region, the device being formed at least partially in the planar device layer.
14. The structure of claim 7, wherein the first lateral edge is directly over the top surface of the dielectric layer.
15. The structure of claim 7, wherein the dielectric layer further defines a second opening from the top surface to the substrate, a fourth region of the second crystalline material being in the second opening, defects arising from the lattice mismatch within the fourth region terminating at a sidewall of the second opening, a fifth region of the third crystalline material being over the fourth region, the fifth region having a second lateral edge extending higher than the top surface of the dielectric layer, the third region of the fourth material being disposed adjacent the second lateral edge.
17. The structure of claim 16, wherein the third material is a different material from the second crystalline material.
18. The structure of claim 16, wherein the third material is amorphous.
19. The structure of claim 16, wherein the third material is polycrystalline.
20. The structure of claim 16 further comprising a planar device layer over the second crystalline material and the third material, the device being formed at least partially in the planar device layer.

This application is a continuation of U.S. patent application Ser. No. 13/591,603, filed Aug. 22, 2012, entitled “REDUCTION OF EDGE DEFECTS FROM ASPECT RATIO TRAPPING,” which is a divisional of U.S. patent application Ser. No. 12/495,161, filed Jun. 30, 2009, entitled “REDUCTION OF EDGE DEFECTS FROM ASPECT RATIO TRAPPING,” which claims the benefit of and priority to U.S. Provisional Application No. 61/077,462 filed Jul. 1, 2008, entitled “REDUCTION OF EDGE EFFECTS FROM ASPECT RATIO TRAPPING” and U.S. Provisional Application No. 61/077,465 filed Jul. 1, 2008, entitled “A SEMICONDUCTOR DEVICE AND METHOD OF MAKING THE SAME;” the disclosures of these applications are hereby incorporated by reference in their entireties.

1. Field of the Invention

The present invention relates to semiconductor structures or device fabrication using lattice mismatched materials.

2. Description of the Related Art

This section provides background information and introduces information related to various aspects of the disclosure that are described and/or claimed below. These background statements are not admissions of prior art.

Integration of lattice mismatched semiconductor materials is one path to high performance semiconductor devices such as complementary metal-oxide-semiconductor (CMOS) field-effect transistors (FET) due to their high carder mobility. For example, the heterointegration of lattice mismatched semiconductor materials with silicon will be useful for a wide variety of device applications. However, disadvantages associated with structural characteristics of related art lattice mismatched devices can decrease device performance, require additional processes or design constraints to counter-effect such structural characteristics or reduce manufacturing yields. Thus, there exists a need for semiconductor structures that provide high performance lattice mismatched materials, for example in an active region of a device.

Embodiments according to the present invention provide methods, structures and apparatus to reduce edge effects of lattice mismatched materials.

Embodiments according to the present invention provide methods, structures and apparatus to reduce edge effects or edge related disadvantages of aspect ratio trapping (ART) techniques suitable or device fabrication and/or devices made thereby.

In one aspect, one embodiment of the invention can provide an above-pattern planar buffer layer to reduce or eliminate effects of physical edges of the heteroepitaxial regions (e.g., Ge regions).

In another aspect, one embodiment of the invention can provide ART structures with reduced or low levels of edge leakage characteristics and/or surface recombination characteristics.

In another aspect, one embodiment of the invention can provide ART structures with reduced or low levels of three dimensional feature inaccuracies and/or layer dimension differences.

In another aspect, one embodiment of the invention can provide co-planar un-coalesced ART structures, above-pattern un-coalesced ART structures or above-pattern coalesced ART structures with an above-pattern planar buffer layer.

In yet another aspect, one embodiment of the invention can provide an ART structure continuous layer with a single type planar layer.

In yet another aspect, one embodiment of the invention can provide an ART structure continuous layer with a single type planar layer that can function as a conventional bulk substrate.

These aspects may be especially applicable to devices incorporating ART techniques, including but not limited to a mixed signal application device, a field effect transistor, a quantum-tunneling device, a light emitting diode, a laser diode, a resonant tunneling diode and a photovoltaic device. The ART devices may have crystalline material epitaxially grown in openings or confined areas with an aspect ratio (depth/width) >0.5, or otherwise suitable for trapping most defects.

In accordance with one aspect of the present invention, there is provided a semiconductor structure that can include a crystalline substrate, an insulator pattern having an opening to the substrate, a crystalline material within the opening in the insulator, the crystalline material being lattice-mismatched with the substrate and an single type planar layer over the insulator pattern.

Additional aspects and utilities of the invention will be set forth in part in the description which follows and, in part, will be obvious from the description, or may be learned by practice of the invention.

These and/or other aspects and utilities of the present general inventive concept will become apparent and more readily appreciated from the following description of the embodiments, taken in conjunction with the accompanying drawings, of which:

FIG. 1, comprising FIGS. 1(a)-1(c), respectively illustrate (a) cross-sectional TEM image of defect trapping in ART structure (e.g., 200 nm trenches of Ge) where a lattice mismatch material region above the dashed line has reduced defects, (b) schematic of an exemplary device structure showing ART reduced crystalline defects, and (c) an exemplary alternate configuration (e.g., confined area for crystalline material) of ART structure.

FIG. 2 comprising FIGS. 2a-2c respective y illustrate exemplary ART structures.

FIG. 3 comprising FIGS. 3a-3c respectively illustrate selected exemplary disadvantages associated with exemplary ART structures.

FIG. 4 illustrates top plan view of an exemplary ART structure.

FIG. 5 illustrates an exemplary embodiment of an above-pattern planar layer in an ART structure.

FIG. 6 illustrates an exemplary embodiment of as planar buffer layer in an ART structure.

FIG. 7 comprising FIGS. 7a-e, respectively illustrate exemplary formation of amorphous or poly silicon materials corresponding to crystalline structures configured to form an embodiment of a planar layer.

FIG. 8 is a diagram illustrating an embodiment of a method for forming a semiconductor device according to the invention.

Reference will now be made in detail to the embodiments of the present general inventive concept, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout. The embodiments are described below in order to explain the present general inventive concept by referring to the figures.

The formation of lattice-mismatched materials has many practical applications. For Example, heteroepitaxially growth of group IV materials or compounds, and III-V, III-N and II-VI compounds on a crystalline substrate, such as silicon has many applications such as photovoltaics, resonant tunneling diodes (RTD's), transistors (e.g., FET (which can be planar or 3D (e.g., finFET), HEMT, etc.), light-emitting diodes and laser diodes. As one example, heterospitaxy of germanium on silicon is considered a promising oath for high performance p-channel metal-oxide-semiconductor (MOS) field-effect transistors (FET) and for integrating optoelectronic devices with silicon complementary MOS (CMOS) technology. Heteroepitaxy growth of other materials (e.g., of group III-V, III-N and II-VI compounds and other group IV materials or compounds) also is beneficial for these and ether applications.

However, the dislocation density of the epitaxially grown material can be unacceptably high for many applications. For example, the dislocation density of germanium directly grown on silicon can be as high as 108-109 cm−2 due to the 4.2% lattice mismatch between the two materials—unacceptable for most device applications. Various approaches to reducing the defect density have been pursued, including compositional grading and post-epi high-temperature annealing. However, these approaches may not be optimal for integration with silicon-based CMOS technology due to requirements for thick epi-layers and/or high thermal budgets, or due to incompatibility with selective growth at a density suitable for CMOS integration.

Aspect Ratio Trapping (ART) is a defect reduction technique that mitigates these problems. As used herein, “ART” or “aspect ratio trapping” refers generally to the technique(s) of causing defects to terminate at non-crystalline, e.g., dielectric sidewalls, where the sidewalls are sufficiently high relative to the size of the growth area so as to trap most, if not all, of the defects. ART utilizes high aspect ratio openings, such as trenches or holes, to trap dislocations, preventing them from reaching the epitaxial film surface, and greatly reduces the surface dislocation, density within the ART opening.

FIG. 1a snows a cross section of an exemplary lattice mismatched material 140 of high quality above a defect region 155 using ART. As illustrated he a crystalline material 140 is epitaxially grown on substrate 100 (e.g., on the (001) surface of a silicon substrate). By confining the crystalline growth within an opening (e.g., trench, recess or the like) in a non-crystalline material with a sufficiently high aspect ratio (e.g., 1 or greater, 0.5 or greater), defects 150 formed while epitaxially growing the crystalline material 140 travel to and end at the sidewalls (e.g., insulator sidewalls)130. It is noted that depending upon different amounts of mismatch between lattices of crystalline structure and substrate, as well as the orientation of the crystal surface to the crystalline structure, the opening may have different aspect ratios and/or different dimensions for obtaining structure 140 with satisfied quality. The crystalline material 140 continues to grow without the continued growth of the defects 150 (e.g., above region 155), thereby producing crystal with reduced defects. This technique has been shown to be effective for growing low defectivity materials such as Ge, InP and GaAs selectively on Si in trenches 200-450 nm wide and of arbitrary length—an area large enough for devices such as an FET, for example. Such trenches can be wider or narrower.

An embodiment of the invention is directed to a device including a lattice mismatched material in an opening in an insulator. FIG. 1b shows one example, illustrating a perspective view of a portion of an exemplary device. As shown in FIG. 1b, a crystalline material 140 is at a substrate 100 in an opening 120 defined in an insulator 110 fore non-Si channel MOSFET. Portions of the crystalline material 140 can correspond to source, drain and channel regions of the device.

The substrate 100 may be, for example, a bulk silicon wafer, a bulk germanium wafer, a semiconductor-on-insulator (SOI) substrate, or a strained semiconductor-on-insulator (SSOI) substrate. The substrate 100 may include or consist essentially of a first semiconductor material, such as a group IV element, e.g., Ge or Si. In on embodiment, substrate 100 includes or consists essentially of (100) silicon. However, alternative crystal orientations may be used. A non-crystalline material, such as an insulator or a dielectric layer 110, is formed over the semiconductor substrate 100. The dielectric; layer 110 may include a dielectric material, such as silicon nitride or silicon dioxide. The dielectric layer 110 may be formed by a method known to one of skill in the art, e.g., thermal oxidation or plasma-enhanced chemical vapor deposition. As discussed below, the dielectric layer may have a thickness corresponding to a desired height (e.g., 25 nm to 2000 nm) of crystalline material to be deposited in an opening formed through the dielectric layer. A mask such as a photoresist mask, can be formed over the substrate 100 and the dielectric layer 110. The mask can be patterned to expose at least a portion of the dielectric layer 110. The exposed portion of the dielectric layer 110 is removed, e.g., by reactive on etching (RIE), to define an opening 120, which can extend to a surface of the substrate 100 and may be defined by at least one insulator sidewall 130, e.g., a non-crystalline sidewalk.

In one example, the width of the opening 120 may be 400 nm or less, 350 nm or less or 200 nm or less, 100 nm or less or 50 nm or less; these sizes have been shown to be effective for ART (of course these sizes do not need to be used with to ART). Alternatively, the width of the opening may be 5 um or less. In another alternative, the width of the opening may be 1 um or less. The opening may be formed as a trench (with the length of the trench running front to back as shown in FIG. 1b) in which case the width would be considered to be perpendicular to its length and height. The length of the trench may be arbitrary. Alternatively, the length of the trench may be substantially larger than the width of the trench, for example greater than 10 times larger, or greater than 100 times larger. In one example, the length of the trench is 2 um.

It is preferred, but not necessary, that the opening 120 is used to trap defects when epitaxially growing the crystalline material 140 using ART (aspect ratio trapping) techniques. In such a case, the aspect ratio (AR—height/width) may be greater than 1, although it possible for the aspect ratio to be lower in ART devices, for example, the AR can be 0.5. (Aspect ratio “AR” is defined for trenches as the ratio of the trench height/trench width.) Further details of example ART devices and ART techniques in which this invention may be incorporated may be found in U.S. patent application Ser. No. 11/436,198 filed May 17, 2006, Ser. No. 11/4193,365 filed Jul. 26, 2006 and Ser. No. 11/852,078 filed Sep. 7, 2007, all of which are hereby incorporated by reference.

The substrate 100 in the above examples may include a group IV element or compound, such as germanium and/or silicon, e.g., (100) silicon. The crystalline material 140 may include at least one of a group IV element or compound, a III-V or III-N compound, or a II-VI compound. Examples of group IV elements include Ge, Si and examples of group IV compounds include SiGe. Examples of III-V compounds include aluminum phosphide (AlP), gallium phosphide (GaP), indium phosphide in (InP), aluminum arsenide (AlAs), gallium arsenide (GaAs), indium arsenide (InAs), aluminum antimonide (AlSb), gallium antimonide (GaSb), indium antimonide (InSb), and their ternary and quaternary compounds. Examples of III-N compounds include aluminum nitride (AlN), gallium nitride (GaN), indium nitride (InN), and their ternary and quaternary compounds. Examples of II-VI compounds includes zinc selenide (ZnSe), zinc telluride (ZnTe), cadmium selenide (CdSe), cadmium telluride (CdTe), zinc sulfide (ZnS), and their ternary and quaternary compounds.

The crystalline semiconductor material 140 may be formed by selective epitaxial growth in any suitable epitaxial deposition system, including, but not limited to, metal-organic chemical vapor deposition (MOCVD), atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), molecular beam epitaxy (MBE), or atomic layer deposition (ALD). In the CVD process, selective epitaxial growth typically includes introducing a source gas into the chamber. The source gas may include at least one precursor gas and a carrier gas, such as, for example hydrogen. The reactor chamber may be heated by, for example, RF-heating. The growth temperature in the chamber may range from about 300.degree. C. to about 1100.degree. C., depending on the composition of the crystalline material and the desired growth rate. The growth system may also utilize low-energy plasma to enhance the layer growth kinetics.

Tie epitaxial growth system may be a single-wafer or multiple-wafer batch reactor. Suitable CVD systems commonly used for volume epitaxy in manufacturing applications include, for example, an Aixtron 2600 multi-wafer system available from Aixtron, based in Aachen, Germany; an EPI CENTURA single-wafer multi-chamber systems available from Applied Materials of Santa Clara, Calif.; or EPSILON single-wafer epitaxial reactors available from ASM International based in Bilthoven, The Netherlands.

Dislocation defects 150 in the crystalline semiconductor material 140 reach and terminate at the sidewalls of the opening 120 in the dielectric layer 110 at or below a prescribed vertical distance from the surface of the substrate, such that dislocations in the crystalline material 140 decrease in density with increasing distance from the bottom portion of the opening 120. Accordingly, the bottom portion of the second crystalline semiconductor material 140 comprises lattice defects, and the upper portion of the crystalline material is substantially exhausted of crystalline defects. Various crystalline defects such as threading dislocations, stacking faults, twin boundaries, or anti-phase boundaries may thus be substantially eliminated from the upper portion of the crystalline material.

The layer of insulator need not be formed as a substantially planar layer. For example, the insulator may be formed of a thin layer that conforms to a surface of the substrate on which it is provided or deposited. FIG. 1c illustrates an example including a substrate that has openings/recesses/trenches 120 etched into the substrate 100. An insulating layer 110′ has been formed across the substrate 100 conforming to the surface topography of the etched substrate 100. The insulating layer 110′ is configured at the bottom of the openings/trenches to expose portions 160 of the substrate 100 for subsequent lattice mismatched crystalline material. In this case, sidewalls of the insulator 130′ can be formed from the outer surface of the insulator 110 after its deposition and are not formed by a separate photolithography and etch process. Exemplary configurations o the openings 120 and portions 160 are illustrated however, embodiments of the invention are not intended to be an limited, for example, as other linear, tiered or nonlinear cross-sections may be used for the openings 120 and the portions 160.

The following description in connection with FIGS. 2-8 describes examples of how the lattice mismatched semiconductor material or crystalline material within a confined space (e.g., crystalline material 140 within insulator 130) may be modified to improve an electrical or structure/device performance characteristics (e.g., reduce edge-related disadvantages). Although this description is in connection with specific materials and process parameters, it will be apparent that the description is exemplary only, and should not be considered to limit the invention to such materials and process parameters.

Three exemplary ART configurations are illustrated n FIGS. 2a-2c. Such ART structures include reduced defect crystalline materials 210 provided partially within insulator patterns 220. FIG. 2a illustrates a co-planar un-coalesced ART structure. FIG. 2b illustrates an above-pattern tin-coalesced ART structure, and FIG. 2c illustrates an above-pattern coalesced ART structure that includes coalescence boundaries 225.

When using coalesced and un-coalesced ART structures, the presence of the ART edges can lead to various potential performance degradation effects. Further, when using coalesced and un-coalesced ART structures, the presence of the ART edges can lead to various fabrication process disadvantages.

For example, the un-coalesced ART materials can be considered separate features or individual islands (including peninsulas, strips, segments, or other geometric forms) when using un-coalesced ART structures (e.g., co-planar or above-pattern) for device/integrated circuit fabrications. As individual features, there is a difficulty in providing additional device layers on/over/covering the ART structure. Such additional device layers involve difficulties such as three-dimensional (3D) dimension issues for subsequent device features over the un-coalesced ART structures, edge/interface issues or resistance issues. For example, when epitaxially growing additional device layers there are 3D dimension issues because such intended 3D feature configurations can not be accurately provided over the ART structure. FIG. 3a is a diagram that illustrates exemplary disadvantages relating to 3D feature issues can include faceting difficulties that can occur over separate flat ART top surfaces or on/over the island edges. Further, inaccurate top outer dimensions (e.g., corners), film thickness differences between areas proximate/over an edge and center areas (e.g., epitaxial film thicknesses) can also occur. For example, in a vertical layout of a P-I-N photodiode, corner and continuous vertical side 3D dimensions of a multi-plane top electrode over a rectangular intrinsic layer are very difficult to achieve.

In addition, the separate ART structures may increase the fabrication process complexity of subsequent device fabrication and integrated circuit fabrication. Although selected disadvantages with various ART structures are discussed herein, these are intended to be exemplary and not limiting.

Potential edge related issues include leakage issues, either with or without additional device layer(s) (e.g., epitaxial layers). Such edge related issues may require additional edge isolation remedial processes, which can increase required tolerances or add fabrication procedures. Additional potential edge-related or trench-pattern-related disadvantages include surface recombination (e.g., at an and surface or epitaxial-dielectric interface) of additional device layers or increased series resistance for selected device applications. FIG. 3c is a diagram that illustrates top and perspective views of an exemplary ART structure capable of use with solar cells. As shown in FIG. 3c, in an application for solar cells (e.g., p-n diodes) with ART structure, dielectric patterns and the trenched-pattern structure can (i) increase the parasitic sales resistance of the p-n diode (325) and/or (ii) can lead to efficiency loss due to surface recombination at the edge/semiconductor-dielectric interlace.

As the intent is to locate a circuit or device at the crystalline material of the ART structure, edges or interfaces of concern can occur between semiconductor material and insulator materials. As shown in FIG. 3b, exemplary edge disadvantages can result from edges that can occur between crystalline material and air or between crystalline material and dielectric patterns. Such edges can result in device design dependent disruptive leakage issues including leakage currents. For example, in a vertical layout of a P-I-N photodiode, a distance between a N+ bottom and a P+ top electrode around an intrinsic crystalline material layer may be very small, which may lead to breakdown leakage current. In addition, surface recombination can occur at an edge or throughout an entire semiconductor/dielectric interface and can result in efficiency loss for some optoelectronic devices or energy devices. For example, when dimensions of the P-I-N photodiode intrinsic layer cross a layout oxide pattern, efficiency of he photodetector can be reduced by resultant corresponding surface recombination at that location.

FIG. 4 illustrates a top plan view of an above pattern coalesced ART structure to illustrate coalesced regions C1 and C2. Such coalesced regions C1 and C2 include more than one defect trapping region or opening corresponding to one continuous reduced defect crystalline material region. As shown in FIG. 4, edge-related disadvantages may occur at a coalesced region/pattern region interface for such an above pattern coalesced ART structure.

Embodiments of the application provide methods, structures or apparatus to reduce or eliminate edge related disadvantages for un-coalesced and coalesced ART structures. In one embodiment, an above-pattern planar buffer layer can be generated to reduce or eliminate selected physical edges for un-coalesced and coalesced ART structures or corresponding device. In embodiments of the application, an planar buffer layer can cover a co-planar un-coalesced ART structure or fill in areas between an above-pattern un-coalesced ART structure. Thus, an embodiment of an above-pattern planar buffer layer can be continuous for both co-planar un-coalesced ART structure and an above-pattern un-coalesced ART structure. According to embodiments, material quality, and even the type of the material, in those additional areas may not be critical to address such edge related issues. Further, proximate regions to edges or edge areas may not all be intended to be used for subsequent device/IC functional elements (e.g., active device region). Depending upon the specific semiconductor device, functional elements can be of different natures. For example, functional elements can be a channel of a transistor (e.g., a metal-oxide-silicon field-effect-transistor), or a PN junction or a PIN junction of a diode, the source or drain of the transistor, or the P contact or the N contact of the diode. In an alternative example, functional elements can be an isolator, such as a shallow-trench-isolator or a mesa isolator, which may be formed adjacent to low defect crystalline material. However, one exemplary reason for a continuous above-pattern planar buffer layer is to reduce or eliminate physical edges between crystalline materials and insulators.

As shown in FIG. 5, additional regions 510, 520, 530, 540, 550 can form an exemplary planar buffer layer. In one embodiment, a top surface of the above pattern planar buffer layer is co-planar with a top surface of the above pattern un-coalesced ART structure. In one embodiment, planar buffer layers can he of one material. Thus, materials in regions 510, 530, 540, 550 may be the same as materials in device areas or region 520. Thus, a high quality epitaxial material may be used for regions 510, 520, 530, 540, 550. In another embodiment, materials in region 520 (e.g., a device region) may be different from regions 510, 530, 540, 550. In one embodiment, the material for regions 510, 520, 530, 540, 550 has a higher defect level than the material for region 520 (or regions 580, 565). Here, for example, regions 510, 530, 540, 550 may be one material such as Si or SiGe when the material in the device area 520 is a different material Ge. Alternatively, regions 510, 530, 540, 550 may be Ge when the material in the device area 520 is III-V.

The materials in these additional regions 510, 520, 530, 540, 550 may he formed by various materials. For example, materials in the additional regions 510, 530, 540, 560 may be provided by amorphous material or polycrystalline material. Semiconductor materials for devices may have a wide range of different as of defects, such as point defects (e.g. vacancies, impurities, and topological defects), line defects (e.g. dislocations and disinclinations), planar defects (e.g. grain boundaries defects and stacking fault defects), hulk defects (e.g. voids and cluster impurities), and many other types of defects. Dopants are typically added to a semiconductor material to increase its charge carriers (electrons or holes); as is understood in the art, and for the purpose of this application, defects are not considered to include dopants. Different semiconductor devices or different functional members of a specific semiconductor device can be vulnerable to different types of defects. Although amorphous semiconductor materials are good materials for certain applications, for this application, we consider amorphous materials to be very defective. Amorphous semiconductor material is full of dangling bonds. Dangling bonds and other defects in the amorphous semiconductor material may degrade the device performance significantly compared to the use of single crystal semiconductor material. Polycrystalline semiconductor material is also considered a more defective material compared to the single crystal semiconductor materials but less defective than amorphous semiconductor material. Grain-boundaries in the polycrystalline semiconductor material are defects, and the electrical and optical properties of polycrystalline silicon films are dominated by those grain-boundaries defects. In one embodiment, materials in the additional regions 510, 530, 540, 550 may have greater defects levels (e.g., dislocation defects) than the materials in the additional region 520 (e.g., or regions 580 or 585).

According to the application, embodiments of additional above-pattern planar semiconductor buffer layers may need selected dimensions such as thickness to be greater than a prescribed thickness, (e.g., thin SOI), such that the dielectric pattern underneath and its interface with an exemplary buffer layer will not negatively impact a corresponding device and/or fabrication processes may proceed procedurally similar to the conventional planar process.

For example, a dimension such as minimum thickness requirement can be varied corresponding to the device fabrication technology being used. For example, in fabricating 65 nm technology nodes of CMOS devices, a minimum thickness of 12.5 nm may be required so that a full functional source or drain contact region can be built. For fabricating 45 nm technology nodes of CMOS devices, a minimum thickness of 9 nm may be required.

Such semiconductor buffer layer formation can be performed by known techniques. An amorphous or poly-crystalline or single-crystalline semiconductor buffer layer may be deposited by various techniques known to those skilled in the art, for example, an amorphous or polycrystalline film deposited by chemical vapor deposition (CVD) processes, or a lateral epitaxy layer grown from the adjacent ART structure by CVD process.

FIG. 6 illustrates another exemplary embodiment according to the application. According to one embodiment, exemplary ART structures resemble the conventional bulk substrate after adding such an additional above-pattern planar semiconductor buffer layer. Thus, an exemplary structure 670 has a planarized continuous layer of one type. In this case, subsequent device/IC fabrication may proceed in a manner similar to the conventional planar process. In one embodiment, an additional device layer 650 may be added.

A method embodiment for manufacturing a semiconductor device having a first planar layer over a crystalline material confined in at least one recess defined by an insulator will now be described with reference to FIG. 8. The embodiment will be described using and can be applied to device embodiments herein; however, the method embodiment of FIG. 8 is not intended to be limited thereby.

As shown in FIG. 8, after a process starts, a crystalline material is grown within recesses over a lattice mismatched substrate until a surface of the crystalline material is above a surface of an insulator (operation block 810). The crystalline material greater than a prescribed height over the substrate or over a top surface of the insulator preferably has reduced defects. For example, the crystalline material may be provided using ART techniques. A shown in exemplary FIG. 7a, the crystalline material 702, 706 may have a distinctive mushroom caps) in crystalline material above the insulator.

Additional semiconductor materials can be provided to fill exposed areas over the insulator or to fill the gaps between the crystalline material (e.g., projecting crystalline material or ART islands) (operation block 820). Preferably, the additional material can reduce or eliminate at least disadvantages related to edges between crystalline material and insulators. In one embodiment, the additional semiconductor materials can include a polycrystalline material, amorphous material, or a single-crystal, which may be example provided by lateral epitaxial growth under controlled environment conditions from the ART islands. In an embodiment as shown in FIG. 7b, the additional materials are up to approximately less than half a height of the mushroom caps, approximately a height of a resultant planar layer or a prescribed height greater than a subsequent planar layer. Alternatively, in an embodiment as shown in FIG. 7c, the additional materials are provided to a height over or a prescribed amount above a height of the mushroom caps.

Then, as shown in FIG. 8, a buffer layer can be farmed by planarizing the additional material (operation block 830) (e.g., CMP structures of FIGS. 7b or 7c). In one embodiment, the additional materials can form a buffer layer with the crystalline material 706. It is preferred that the top surface of the buffer layer (which can include multiple isolated and/or interconnected segments, strips, islands, peninsulas, and/or other geometric forms) and the top surface of the ART structure form a substantially continuous and substantially flat surface. In one example, the buffer layer can include a semiconductor material or an electrically conductive material. The buffer layer can be crystalline, amorphous, poly-crystalline, or other types of structures. Then, a device can be completed (operation block 840). Such device fabrication can be implemented using previously described techniques. Further, one method for device fabrication according to FIG. 8 can be integrated with a CMOS process, in an embodiment as shown in FIG. 7e, additional device epitaxial layer(s) may be grown or provided before device fabrication of operation block 840.

In one embodiment the first crystalline material is a lattice mismatched semiconductor material. In another embodiment, the first crystalline material has a coalesced top surface connecting first crystalline material from a plurality of adjacent recesses. In one embodiment the recess is a hole, trench, or a plurality trenches each having a prescribed cross-section. In one embodiment, the insulator has an opening to a substrate of a second crystalline material lattice mismatched to the first crystalline material. In one embodiment, the first crystalline material confined in the recess defined by the insulator was formed using ART techniques. In one embodiment, the second crystalline material may include a group IV element or compound, such as germanium and/on silicon, and the first crystalline material may include at least one of a group IV element or compound, a III-V or III-N compound, or a II-VI compound.

As described above, embodiments of methods for manufacturing devices, and devices made thereby can provide a single type planar layer. Accordingly, in one embodiment, the planar layer can operate as a conventional bulk substrate.

As described above, embodiments of structures and devices, and methods for the same can provide various advantages. For example, by adding an above-pattern planar buffer layer according to disclosed embodiments, additional device layer(s) may be provided (e.g., grown) without the issues of 3D faceting on the ART structures edges. Further, using an embodiment of an above-pattern planar semiconductor buffer, non-uniformity of film thickness (e.g., epitaxial film) over edge of ART crystalline material or ART structures can be reduced or prevented. In addition, device/IC fabrication can be performed without potential edge leakage and isolation issues using disclosed embodiments of methods, structures and devices. Further, fabrication process on ART substrates may become simpler, and/or can use procedures of a planar IC process approach.

Another advantage of embodiments of this novel structure is that ART film produced by ART techniques does not function as isolated structures or islands. Embodiments of an ART film can function as or become a continuous semiconductor film, which can resemble features of bulk substrate or continuous coalesced-ART structure in this aspect. For example, functional elements of semiconductor devices can be formed by treating the ART structures, the buffer aver, the patterned dielectric layer, and the substrate as a bulk substrate.

As noted above, this invention has a wide variety of applications. While not limited to ART technology, this invention has many applications within ART technology. For example, use of this invention may be used to create strained Ge over a SiGe alloy grown in an opening within an insulator. One or both of the Ge and SiGe layers may be grown in accordance with the invention and/or may have a surface of reduced roughness. A wide variety of devices may incorporate the invention. While not limiting to these devices, the invention may be particularly applicable to mixed signal applications, field effect transistors, quantum tunneling devices, light emitting diodes, laser diodes, resonant tunneling diodes and photovoltaic devices, especially those using ART technology. Application Ser. No. 11/857,047 filed Sep.; 18, 2007 entitled “Aspect Ratio Trapping for Mixed Signal Applications”; application Ser. No. 11/861,931 filed Sep. 28, 2007 entitled “Tri-Gate Field-Effect Transistors formed by Aspect Ratio Trapping”; application Ser. No. 11/862,850 filed Sep. 27, 2007 entitled “Quantum Tunneling Devices and Circuits with Lattice-mismatched Semiconductor Structures”; application Ser. No. 11/875,381 filed Oct. 19, 2007 entitled “Light-Emitter-Based Devices with Lattice-mismatched Semiconductor Structures”; and application Ser. No. 12/100,131 filed Apr. 9, 2007 entitled “Photovoltaics on Silicon” are all hereby incorporated by reference as providing examples to which aspects of this invention may be particularly suited.

A silicon CMOS device may be processed prior to embodiments of the invention, therefore, embodiment of devices such as LEDs or photovoltaic devices according to the invention integrated with CMOS process may be fabricated. Further, structures and/or methods according to disclosed embodiment can be used for high mobility, non-Si channel MOSFETs for next generation CMOS and for a wide variety of other applications.

Any reference in this specification to “one embodiment,” “an embodiment,” “example embodiment,” etc., means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. The appearances of such phrases in various places in the specification are not necessarily all referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with any embodiment. It is submitted that it is within the purview of one skilled in the art to affect such feature, structure, or characteristic in connection with other ones of the embodiments. Furthermore, for ease of understanding, certain method procedures may have been delineated as separate procedures; however, these separately delineated procedures should not be construed as necessarily order dependent in their performance. That is, some procedures may be able to be performed in an alternative ordering, simultaneously, etc. In addition, exemplary diagrams illustrate various methods in accordance with embodiments of the present disclosure. Such exemplary method embodiments are described herein using and can be applied to corresponding apparatus embodiments, however, the method embodiments are not intended to he limited thereby.

Although few embodiments of the present invention have been illustrated and described, it would be appreciated by those skilled in the art that changes may be made in these embodiments without departing from the principles and spirit of the invention. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein. As used in this disclosure, the term “preferably” is non-exclusive and means “preferably, but not limited to.” Terms in the claims should be given their broadest interpretation consistent with the general inventive concept as set forth in this description. For example, the terms “coupled” and “connect” and derivations thereof) are used to connote both direct and indirect connections/couplings. As another example, “having” and “including”, derivatives thereof and similar transitional terms or phrases are used synonymously with “comprising” all are considered “open ended” terms)—only the phrases “consisting of” and “consisting essentially of” should be considered as “close ended”. Claims are not intended to be interpreted under 112 sixth paragraph unless the phrase “means for” and an associated function appear in a claim and the claim fails to recite sufficient structure to perform such function.

Cheng, Zhiyuan

Patent Priority Assignee Title
Patent Priority Assignee Title
4307510, Mar 12 1980 The United States of America as represented by the Administrator of the Computer circuit card puller
4322253, Apr 30 1980 RCA Corporation Method of making selective crystalline silicon regions containing entrapped hydrogen by laser treatment
4370510, Sep 26 1980 California Institute of Technology Gallium arsenide single crystal solar cell structure and method of making
4545109, Jan 21 1983 Fairchild Semiconductor Corporation Method of making a gallium arsenide field effect transistor
4551394, Nov 26 1984 Honeywell Inc. Integrated three-dimensional localized epitaxial growth of Si with localized overgrowth of GaAs
4651179, Jan 21 1983 Fairchild Semiconductor Corporation Low resistance gallium arsenide field effect transistor
4727047, Apr 10 1980 Massachusetts Institute of Technology Method of producing sheets of crystalline material
4774205, Jun 13 1986 MASSACHUSETTS INSTITUTE OF TECHNOLOGY, A CORP OF MASSACHUSETTS Monolithic integration of silicon and gallium arsenide devices
4789643, Sep 25 1986 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a heterojunction bipolar transistor involving etch and refill
4826784, Nov 13 1987 Kopin Corporation Selective OMCVD growth of compound semiconductor materials on silicon substrates
4860081, Jun 28 1984 GTE Laboratories Incorporated Semiconductor integrated circuit structure with insulative partitions
4876210, Apr 30 1987 Heritage Power LLC Solution growth of lattice mismatched and solubility mismatched heterostructures
4948456, Jun 09 1989 Delco Electronics Corporation Confined lateral selective epitaxial growth
4963508, Sep 03 1985 Daido Tokushuko Kabushiki Kaisha; NAGOYA INSTITUTE OF TECHNOLOGY Method of making an epitaxial gallium arsenide semiconductor wafer using a strained layer superlattice
5032893, Apr 01 1988 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor eiplayers
5034337, Feb 10 1989 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
5061644, Dec 22 1988 SAMSUNG ELECTRONICS CO , LTD Method for fabricating self-aligned semiconductor devices
5079616, Feb 11 1988 GTE Laboratories Incorporated Semiconductor structure
5091333, Sep 12 1983 Massachusetts Institute of Technology Reducing dislocations in semiconductors utilizing repeated thermal cycling during multistage epitaxial growth
5091767, Mar 18 1991 AT&T Bell Laboratories; AMERICAN TELEPHONE AND TELEGRAPH COMPANY, A CORP OF NEW YORK Article comprising a lattice-mismatched semiconductor heterostructure
5093699, Mar 12 1990 Texas A & M University System Gate adjusted resonant tunnel diode device and method of manufacture
5098850, Jun 16 1989 Canon Kabushiki Kaisha Process for producing substrate for selective crystal growth, selective crystal growth process and process for producing solar battery by use of them
5105247, Aug 03 1990 Quantum field effect device with source extension region formed under a gate and between the source and drain regions
5108947, Jan 31 1989 Agfa-Gevaert N.V. Integration of GaAs on Si substrates
5156995, Apr 01 1988 Cornell Research Foundation, Inc. Method for reducing or eliminating interface defects in mismatched semiconductor epilayers
5159413, Apr 20 1990 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
5164359, Apr 20 1990 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
5166767, Apr 14 1987 National Semiconductor Corporation Sidewall contact bipolar transistor with controlled lateral spread of selectively grown epitaxial layer
5223043, Feb 11 1991 Alliance for Sustainable Energy, LLC Current-matched high-efficiency, multijunction monolithic solar cells
5236546, Jan 26 1987 Canon Kabushiki Kaisha Process for producing crystal article
5238869, Jul 25 1988 Texas Instruments Incorporated Method of forming an epitaxial layer on a heterointerface
5256594, Jun 16 1989 Intel Corporation Masking technique for depositing gallium arsenide on silicon
5269852, May 27 1991 Canon Kabushiki Kaisha Crystalline solar cell and method for producing the same
5269876, Jan 26 1987 Canon Kabushiki Kaisha Process for producing crystal article
5272105, Feb 11 1988 GTE Laboratories Incorporated Method of manufacturing an heteroepitaxial semiconductor structure
5281283, Mar 26 1987 Canon Kabushiki Kaisha Group III-V compound crystal article using selective epitaxial growth
5285086, Aug 02 1990 AT&T Bell Laboratories Semiconductor devices with low dislocation defects
5295150, Dec 11 1992 Eastman Kodak Company Distributed feedback-channeled substrate planar semiconductor laser
5356831, Apr 20 1990 Eaton Corporation Method of making a monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
5403751, Nov 29 1990 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
5405453, Nov 08 1993 EMCORE SOLAR POWER, INC High efficiency multi-junction solar cell
5407491, Apr 08 1993 UNIVERSITY OF HOUSTON Tandem solar cell with improved tunnel junction
5410167, Jul 10 1992 Fujitsu Limited Semiconductor device with reduced side gate effect
5417180, Oct 24 1991 Rohm Co., Ltd. Method for forming SOI structure
5427976, Mar 27 1991 NEC Corporation Method of producing a semiconductor on insulating substrate, and a method of forming a transistor thereon
5432120, Dec 04 1992 Infineon Technologies AG Method for producing a laterally limited single-crystal region with selective epitaxy and the employment thereof for manufacturing a bipolar transistor as well as a MOS transistor
5438018, Dec 07 1992 Fujitsu Limited Method of making semiconductor device by selective epitaxial growth
5461243, Oct 29 1993 GLOBALFOUNDRIES Inc Substrate for tensilely strained semiconductor
5518953, Sep 24 1991 Rohm Co., Ltd. Method for manufacturing semiconductor device having grown layer on insulating layer
5528209, Apr 27 1995 Hughes Electronics Corporation Monolithic microwave integrated circuit and method
5545586, Nov 27 1990 NEC Corporation Method of making a transistor having easily controllable impurity profile
5548129, Jan 10 1994 Hughes Electronics Corporation Quantum well structure with self-aligned gate and method of making the same
5589696, Oct 15 1991 NEC Corporation Tunnel transistor comprising a semiconductor film between gate and source/drain
5621227, Jul 18 1995 Discovery Semiconductors, Inc. Method and apparatus for monolithic optoelectronic integrated circuit using selective epitaxy
5622891, Jul 10 1992 Fujitsu Limited Method of manufacturing semiconductor device with reduced side gate effect
5640022, Aug 27 1993 Sanyo Electric Co., Inc. Quantum effect device
5710436, Sep 27 1994 Kabushiki Kaisha Toshiba Quantum effect device
5717709, Jun 04 1993 Sharp Kabushiki Kaisha Semiconductor light-emitting device capable of having good stability in fundamental mode of oscillation, decreasing current leakage, and lowering oscillation threshold limit, and method of making the same
5792679, Aug 30 1993 Sharp Laboratories of America, Inc Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
5825049, Oct 09 1996 Sandia Corporation Resonant tunneling device with two-dimensional quantum well emitter and base layers
5825240, Nov 30 1994 Massachusetts Institute of Technology Resonant-tunneling transmission line technology
5849077, Apr 11 1994 Texas Instruments Incorporated Process for growing epitaxial silicon in the windows of an oxide-patterned wafer
5853497, Dec 12 1996 Hughes Electronics Corporation High efficiency multi-junction solar cells
5869845, Jun 26 1997 Texas Instruments Incorporated Resonant tunneling memory
5883549, Jun 20 1997 HANGER SOLUTIONS, LLC Bipolar junction transistor (BJT)--resonant tunneling diode (RTD) oscillator circuit and method
5886385, Aug 22 1996 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
5903170, Jun 03 1997 REGENTS OF THE UNIVERSITY OF MICHIGAN, THE Digital logic design using negative differential resistance diodes and field-effect transistors
5953361, May 31 1995 OSRAM Opto Semiconductors GmbH DFB laser diode structure having complex optical grating coupling
5959308, Jul 25 1988 Texas Instruments Incorporated Epitaxial layer on a heterointerface
5966620, Nov 15 1996 Canon Kabushiki Kaisha Process for producing semiconductor article
5998781, Apr 30 1997 Sandia Corporation Apparatus for millimeter-wave signal generation
6011271, Apr 28 1994 Fujitsu Limited Semiconductor device and method of fabricating the same
6015979, Aug 29 1997 Kabushiki Kaisha Toshiba Nitride-based semiconductor element and method for manufacturing the same
6049098, Apr 27 1995 NEC Electronics Corporation Bipolar transistor having an emitter region formed of silicon carbide
6083598, Dec 20 1995 Kabushiki Kaisha Toshiba Information recording medium, method for manufacturing the medium, and apparatus for manufacturing the medium
6100106, Nov 17 1997 NEC Corporation Fabrication of nitride semiconductor light-emitting device
6110813, Apr 04 1997 Matsushita Electric Industrial Co., Ltd. Method for forming an ohmic electrode
6111288, Mar 18 1997 Kabushiki Kaisha Toshiba Quantum tunneling effect device and semiconductor composite substrate
6121542, May 17 1996 Canon Kabushiki Kaisha Photovoltaic device
6150242, Mar 25 1998 Texas Instruments Incorporated Method of growing crystalline silicon overlayers on thin amorphous silicon oxide layers and forming by method a resonant tunneling diode
6153010, Apr 11 1997 Nichia Corporation Method of growing nitride semiconductors, nitride semiconductor substrate and nitride semiconductor device
6191432, Sep 02 1996 Kabushiki Kaisha Toshiba Semiconductor device and memory device
6225650, Mar 25 1997 Mitsubishi Chemical Corporation GAN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
6228691, Jun 30 1999 Intel Corp Silicon-on-insulator devices and method for producing the same
6229153, Jun 21 1996 Wisconsin Alumni Research Foundation High peak current density resonant tunneling diode
6235547, Apr 28 1994 Fujitsu Limited Semiconductor device and method of fabricating the same
6252261, Sep 30 1998 NEC Corporation GaN crystal film, a group III element nitride semiconductor wafer and a manufacturing process therefor
6252287, May 19 1999 National Technology & Engineering Solutions of Sandia, LLC InGaAsN/GaAs heterojunction for multi-junction solar cells
6271551, Dec 15 1995 NXP B V Si-Ge CMOS semiconductor device
6274889, Apr 04 1997 Matsushita Electric Industrial Co., Ltd. Method for forming ohmic electrode, and semiconductor device
6300650, Aug 30 1996 Ricoh Company, Ltd. Optical semiconductor device having a multilayer reflection structure
6320220, Mar 18 1997 Kabushiki Kaisha Toshiba Quantum tunneling effect device and semiconductor composite substrate
6325850, Oct 20 1997 Lumilog Method for producing a gallium nitride epitaxial layer
6339232, Sep 20 1999 TOSHIBA MEMORY CORPORATION Semiconductor device
6342404, Mar 31 1999 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing
6348096, Mar 13 1997 NEC Corporation Method for manufacturing group III-V compound semiconductors
6352942, Jun 25 1999 Massachusetts Institute of Technology Oxidation of silicon on germanium
6362071, Apr 05 2000 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for forming a semiconductor device with an opening in a dielectric layer
6380051, Jun 24 1998 Sharp Kabushiki Kaisha Layered structure including a nitride compound semiconductor film and method for making the same
6380590, Feb 22 2001 GLOBALFOUNDRIES U S INC SOI chip having multiple threshold voltage MOSFETs by using multiple channel materials and method of fabricating same
6403451, Feb 09 2000 Noerh Carolina State University Methods of fabricating gallium nitride semiconductor layers on substrates including non-gallium nitride posts
6407425, Sep 21 2000 Texas Instruments Incorporated Programmable neuron MOSFET on SOI
6456214, Sep 27 2000 OL SECURITY LIMITED LIABILITY COMPANY High-speed comparator utilizing resonant tunneling diodes and associated method
6458614, Mar 19 1999 MURATA MANUFACTURING CO , LTD Opto-electronic integrated circuit
6475869, Feb 26 2001 GLOBALFOUNDRIES U S INC Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
6492216, Feb 07 2002 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
6500257, Apr 17 1998 Agilent Technologies Inc Epitaxial material grown laterally within a trench and method for producing same
6503610, Mar 24 2000 Sumitomo Chemical Company, Ltd Group III-V compound semiconductor and method of producing the same
6512252, Nov 15 1999 Pannova Semic, LLC Semiconductor device
6521514, Nov 17 1999 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on sapphire substrates
6552259, Oct 18 1999 Sharp Kabushiki Kaisha Solar cell with bypass function and multi-junction stacked type solar cell with bypass function, and method for manufacturing these devices
6566284, Aug 07 2001 HRL Laboratories, LLC Method of manufacture for 80 nanometer diameter resonant tunneling diode with improved peak-to-valley ratio and resonant tunneling diode therefrom
6576532, Nov 30 2001 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor device and method therefor
6579463, Aug 18 2000 Regents of the University of Colorado, The Tunable nanomasks for pattern transfer and nanocluster array formation
6603172, Jun 17 1996 Godo Kaisha IP Bridge 1 Semiconductor device and method of manufacturing the same
6606335, Jul 14 1998 Fujitsu Limited Semiconductor laser, semiconductor device, and their manufacture methods
6617643, Jun 28 2002 Research Triangle Institute Low power tunneling metal-oxide-semiconductor (MOS) device
6635110, Jun 25 1999 Massachusetts Institute of Technology Cyclic thermal anneal for dislocation reduction
6645295, May 10 1999 Toyoda Gosei Co., Ltd. Method for manufacturing group III nitride compound semiconductor and a light-emitting device using group III nitride compound semiconductor
6645797, Dec 06 2002 GLOBALFOUNDRIES U S INC Method for forming fins in a FinFET device using sacrificial carbon layer
6686245, Dec 20 2002 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Vertical MOSFET with asymmetric gate structure
6703253, Nov 15 2001 Sharp Kabushiki Kaisha Method for producing semiconductor light emitting device and semiconductor light emitting device produced by such method
6709982, Nov 26 2002 GLOBALFOUNDRIES U S INC Double spacer FinFET formation
6710368, Oct 01 2001 Quantum tunneling transistor
6720196, May 11 2001 EPISTAR CORPORATION Nitride-based semiconductor element and method of forming nitride-based semiconductor
6727523, Dec 16 1999 Sony Corporation METHOD OF MANUFACTURING CRYSTAL OF III-V COMPOUNDS OF THE NITRIDE SYSTEM, CRYSTAL SUBSTRATE OF III-V COMPOUNDS OF THE NITRIDE SYSTEM, CRYSTAL FILM OF III-V COMPOUNDS OF THE NITRIDE SYSTEM, AND METHOD OF MANUFACTURING DEVICE
6753555, Nov 15 1999 Pannova Semic, LLC DTMOS device having low threshold voltage
6756611, Apr 11 1997 Nichia Chemical Industries, Ltd. Nitride semiconductor growth method, nitride semiconductor substrate, and nitride semiconductor device
6762483, Jan 23 2003 GLOBALFOUNDRIES U S INC Narrow fin FinFET
6767793, Mar 19 2002 Microsoft Technology Licensing, LLC Strained fin FETs structure and method
6784074, May 09 2001 Innolume GmbH Defect-free semiconductor templates for epitaxial growth and method of making same
6787864, Sep 30 2002 Advanced Micro Devices, Inc. Mosfets incorporating nickel germanosilicided gate and methods for their formation
6794718, Dec 19 2002 Microsoft Technology Licensing, LLC High mobility crystalline planes in double-gate CMOS technology
6800910, Sep 30 2002 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
6803598, May 07 1999 The Ohio State University Si-based resonant interband tunneling diodes and method of making interband tunneling diodes
6809351, Mar 07 2001 NEC Corporation Group III-V compound semiconductor crystal structure and method of epitaxial growth of the same as well as semiconductor device including the same
6812053, Oct 14 1999 Cree, Inc. Single step pendeo- and lateral epitaxial overgrowth of Group III-nitride epitaxial layers with Group III-nitride buffer layer and resulting structures
6812495, Jun 19 2002 Massachusetts Institute of Technology Ge photodetectors
6815241, Sep 25 2002 EPISTAR CORPORATION GaN structures having low dislocation density and methods of manufacture
6815738, Feb 28 2003 GLOBALFOUNDRIES U S INC Multiple gate MOSFET structure with strained Si Fin body
6825534, Jun 04 1999 GLOBALFOUNDRIES U S INC Semiconductor device on a combination bulk silicon and silicon-on-insulator (SOI) substrate
6831350, Oct 02 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor structure with different lattice constant materials and method for forming the same
6835246, Nov 16 2001 Nanostructures for hetero-expitaxial growth on silicon substrates
6835618, Aug 05 2003 TESSERA ADVANCED TECHNOLOGIES, INC Epitaxially grown fin for FinFET
6838322, May 01 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for forming a double-gated semiconductor device
6841410, Sep 03 2001 Renesas Electronics Corporation Method for forming group-III nitride semiconductor layer and group-III nitride semiconductor device
6841808, Jun 23 2000 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
6849077, Feb 11 2000 evYsio Medical Devices ULC Stent delivery system and method of use
6849487, May 27 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for forming an electronic structure using etch
6849884, Mar 19 2002 Microsoft Technology Licensing, LLC Strained Fin FETs structure and method
6855583, Aug 05 2003 GLOBALFOUNDRIES Inc Method for forming tri-gate FinFET with mesa isolation
6855982, Feb 02 2004 GLOBALFOUNDRIES U S INC Self aligned double gate transistor having a strained channel region and process therefor
6855990, Nov 26 2002 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
6867433, Apr 30 2003 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
6873009, May 13 1999 Hitachi, Ltd. Vertical semiconductor device with tunnel insulator in current path controlled by gate electrode
6882051, Mar 30 2001 Regents of the University of California, The Nanowires, nanostructures and devices fabricated therefrom
6887773, Jun 19 2002 Cisco Technology, Inc Methods of incorporating germanium within CMOS process
6888181, Mar 18 2004 Marlin Semiconductor Limited Triple gate device having strained-silicon channel
6900070, Apr 15 2002 Regents of the University of California, The Dislocation reduction in non-polar gallium nitride thin films
6900502, Apr 03 2003 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
6902965, Oct 31 2003 Taiwan Semiconductor Manufacturing Company, Ltd Strained silicon structure
6902991, Oct 24 2002 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
6909186, May 01 2003 International Business Machines Corporation High performance FET devices and methods therefor
6917068, Jun 21 2002 MONTEREY RESEARCH, LLC Semiconductor device having conductive structures formed near a gate electrode
6919258, Oct 02 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
6920159, Nov 29 2002 Optitune plc Tunable optical source
6921673, Mar 27 2001 Sony Corporation Nitride semiconductor device and method of manufacturing the same
6921963, Jan 23 2003 GLOBALFOUNDRIES U S INC Narrow fin FinFET
6921982, Jul 21 2003 Microsoft Technology Licensing, LLC FET channel having a strained lattice structure along multiple surfaces
6936875, Oct 02 2002 Renesas Electronics Corporation; NEC Electronics Corporation Insulated-gate field-effect transistor, method of fabricating same, and semiconductor device employing same
6943407, Jun 17 2003 GLOBALFOUNDRIES Inc Low leakage heterojunction vertical transistors and high performance devices thereof
6946683, Jan 28 2002 Nichia Corporation Opposed terminal structure having a nitride semiconductor element
6949769, Sep 17 2002 Taiwan Semiconductor Manufacturing Company, Ltd. Suppression of MOSFET gate leakage current
6951819, Dec 05 2002 Ostendo Technologies, Inc High efficiency, monolithic multijunction solar cells containing lattice-mismatched materials and methods of forming same
6955969, Sep 03 2003 GLOBALFOUNDRIES U S INC Method of growing as a channel region to reduce source/drain junction capacitance
6955977, Oct 14 1999 Cree, Inc. Single step pendeo-and lateral epitaxial overgrowth of group III-nitride epitaxial layers with group III-nitride buffer layer and resulting structures
6958254, May 08 2001 BTG International Limited Method to produce germanium layers
6960781, Mar 07 2003 Taiwan Semiconductor Manufacturing Company, Ltd Shallow trench isolation process
6974733, Jun 16 2003 Intel Corporation Double-gate transistor with enhanced carrier mobility
6977194, Oct 30 2003 GLOBALFOUNDRIES U S INC Structure and method to improve channel mobility by gate electrode stress modification
6982204, Jul 16 2002 Cree, Inc. Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
6982435, Mar 31 1999 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method for producing the same
6984571, Oct 01 1999 INVENSAS BONDING TECHNOLOGIES, INC Three dimensional device integration method and integrated device
6991998, Jul 02 2004 GLOBALFOUNDRIES Inc Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
6994751, Feb 27 2001 LEDVANCE GMBH Nitride-based semiconductor element and method of forming nitride-based semiconductor
6995430, Jun 07 2002 Taiwan Semiconductor Manufacturing Company, Ltd Strained-semiconductor-on-insulator device structures
6995456, Mar 12 2004 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
6996147, Mar 30 2001 The Regents of the University of California Methods of fabricating nanostructures and nanowires and devices fabricated therefrom
6998684, Mar 31 2004 GLOBALFOUNDRIES U S INC High mobility plane CMOS SOI
7001804, Jan 30 2004 Atmel Germany GmbH Method of producing active semiconductor layers of different thicknesses in an SOI wafer
7002175, Oct 08 2004 Agency for Science, Technology and Research Method of making resonant tunneling diodes and CMOS backend-process-compatible three dimensional (3-D) integration
7012298, Jun 21 2002 MONTEREY RESEARCH, LLC Non-volatile memory device
7012314, Dec 18 2002 SEMIKING LLC Semiconductor devices with reduced active region defects and unique contacting schemes
7015497, Aug 27 2002 Ohio State Innovation Foundation Self-aligned and self-limited quantum dot nanoswitches and methods for making same
7015517, Oct 02 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor device incorporating a defect controlled strained channel structure and method of making the same
7033436, Apr 12 2001 Sony Corporation Crystal growth method for nitride semiconductor and formation method for semiconductor device
7033936, Aug 17 1999 Nexeon Ltd Process for making island arrays
7041178, Feb 16 2000 INVENSAS BONDING TECHNOLOGIES, INC Method for low temperature bonding and bonded structure
7045401, Jun 23 2003 Microsoft Technology Licensing, LLC Strained silicon finFET device
7049627, Aug 23 2002 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor heterostructures and related methods
7061065, Mar 31 2003 National Science Council Light emitting diode and method for producing the same
7074623, Jun 07 2002 Taiwan Semiconductor Manufacturing Company, Ltd Methods of forming strained-semiconductor-on-insulator finFET device structures
7078299, Sep 03 2003 Advanced Micro Devices, Inc. Formation of finFET using a sidewall epitaxial layer
7078731, Dec 27 2002 SLT Technologies, Inc Gallium nitride crystals and wafers and method of making
7084051, Jun 07 2002 Sharp Kabushiki Kaisha Manufacturing method for semiconductor substrate and manufacturing method for semiconductor device
7084441, May 20 2004 Cree, Inc. Semiconductor devices having a hybrid channel layer, current aperture transistors and methods of fabricating same
7087965, Apr 22 2004 GLOBALFOUNDRIES Inc Strained silicon CMOS on hybrid crystal orientations
7088143, May 22 2003 REGENTS OF THE UNIVERSITY OF MICHIGAN, THE Dynamic circuits having improved noise tolerance and method for designing same
7091561, Jun 13 2003 Kabushiki Kaisha Toshiba Field effect transistor and method of manufacturing the same
7095043, Jun 18 2003 Hitachi, Ltd. Semiconductor device, semiconductor circuit module and manufacturing method of the same
7098508, Aug 25 2003 GLOBALFOUNDRIES U S INC Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
7101444, May 09 2001 Innolume GmbH Defect-free semiconductor templates for epitaxial growth
7109516, Jun 07 2002 Taiwan Semiconductor Manufacturing Company, Ltd Strained-semiconductor-on-insulator finFET device structures
7118987, Jan 29 2004 Taiwan Semiconductor Manufacturing Co., Ltd. Method of achieving improved STI gap fill with reduced stress
7119402, Sep 05 2003 Kabushiki Kaisha Toshiba Field effect transistor and manufacturing method thereof
7122733, Sep 06 2002 The Boeing Company Multi-junction photovoltaic cell having buffer layers for the growth of single crystal boron compounds
7125785, Jun 14 2004 GLOBALFOUNDRIES Inc Mixed orientation and mixed material semiconductor-on-insulator wafer
7128846, Feb 28 2002 TOYODA GOSEI CO , LTD Process for producing group III nitride compound semiconductor
7132691, Sep 10 1998 ROHM CO , LTD Semiconductor light-emitting device and method for manufacturing the same
7138292, Sep 10 2003 Bell Semiconductor, LLC Apparatus and method of manufacture for integrated circuit and CMOS device including epitaxially grown dielectric on silicon carbide
7138302, Jan 12 2004 GLOBALFOUNDRIES U S INC Method of fabricating an integrated circuit channel region
7145167, Mar 11 2000 ELPIS TECHNOLOGIES INC High speed Ge channel heterostructures for field effect devices
7154118, Mar 31 2004 TAHOE RESEARCH, LTD Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
7160753, Mar 16 2004 LADARSYSTEMS, INC Silicon-on-insulator active pixel sensors
7164183, Jun 09 2003 Canon Kabushiki Kaisha Semiconductor substrate, semiconductor device, and method of manufacturing the same
7176522, Nov 25 2003 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device having high drive current and method of manufacturing thereof
7179727, Sep 03 2002 AdvanceSis Limited Formation of lattice-tuning semiconductor substrates
7180134, Jan 30 2004 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and structures for planar and multiple-gate transistors formed on SOI
7195993, Jun 10 1998 North Carolina State University Methods of fabricating gallium nitride semiconductor layers by lateral growth into trenches
7198995, Dec 12 2003 GLOBALFOUNDRIES U S INC Strained finFETs and method of manufacture
7205586, Nov 15 1999 Pannova Semic, LLC Semiconductor device having SiGe channel region
7205604, Feb 11 2003 GLOBALFOUNDRIES U S INC Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
7211864, Sep 13 2004 PALO ALTO NETWORKS, INC Fully-depleted castellated gate MOSFET device and method of manufacture thereof
7217882, Sep 10 2002 Cornell Research Foundation, Inc Broad spectrum solar cell
7224033, Feb 15 2005 GLOBALFOUNDRIES U S INC Structure and method for manufacturing strained FINFET
7244958, Jun 24 2004 GLOBALFOUNDRIES Inc Integration of strained Ge into advanced CMOS technology
7247534, Nov 19 2003 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
7247912, Jan 05 2004 GLOBALFOUNDRIES U S INC Structures and methods for making strained MOSFETs
7250359, Jun 24 1997 Massachusetts Institute of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
7262117, Jun 10 2003 Cisco Technology, Inc Germanium integrated CMOS wafer and method for manufacturing the same
7268058, Jan 16 2004 TAHOE RESEARCH, LTD Tri-gate transistors and methods to fabricate same
7297569, Dec 18 2002 ARKTONICS, LLC Semiconductor devices with reduced active region defects and unique contacting schemes
7344942, Jan 26 2005 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Isolation regions for semiconductor devices and their formation
7361576, May 31 2005 Japan Science and Technology Agency Defect reduction of non-polar and semi-polar III-Nitrides with sidewall lateral epitaxial overgrowth (SLEO)
7372066, Jun 04 2002 NITRIDE SEMICONDUCTORS CO , LTD Gallium nitride compound semiconductor device and manufacturing method
7420201, Jun 07 2002 Taiwan Semiconductor Manufacturing Company, Ltd Strained-semiconductor-on-insulator device structures with elevated source/drain regions
7449379, Aug 05 2003 Fujitsu Semiconductor Limited Semiconductor device and method for fabricating the same
7582498, Oct 24 2003 SORAA INC Resonant cavity light emitting devices and associated method
7626246, Jul 26 2005 Taiwan Semiconductor Manufacturing Company, Ltd Solutions for integrated circuit integration of alternative active area materials
7638842, Sep 07 2005 Taiwan Semiconductor Manufacturing Company, Ltd Lattice-mismatched semiconductor structures on insulators
7655960, Sep 19 2001 Sumito Electric Industries, Ltd. A1xInyGa1-x-yN mixture crystal substrate, method of growing same and method of producing same
7777250, Mar 24 2006 Taiwan Semiconductor Manufacturing Company, Ltd Lattice-mismatched semiconductor structures and related methods for device fabrication
7799592, Sep 27 2006 Taiwan Semiconductor Manufacturing Company, Ltd Tri-gate field-effect transistors formed by aspect ratio trapping
7825328, Apr 09 2007 Taiwan Semiconductor Manufacturing Company, Ltd Nitride-based multi-junction solar cell modules and methods for making the same
7875958, Sep 27 2006 Taiwan Semiconductor Manufacturing Company, Ltd Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
8034697, Sep 19 2008 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Formation of devices by epitaxial layer overgrowth
20010006249,
20010045604,
20020011612,
20020017642,
20020022290,
20020030246,
20020036290,
20020046693,
20020047155,
20020066403,
20020070383,
20020084000,
20020127427,
20020168802,
20020168844,
20020179005,
20030030117,
20030045017,
20030057486,
20030064535,
20030070707,
20030087462,
20030089899,
20030155586,
20030168002,
20030178677,
20030178681,
20030183827,
20030203531,
20030207518,
20030227036,
20030230759,
20040005740,
20040012037,
20040016921,
20040031979,
20040041932,
20040043584,
20040072410,
20040075105,
20040075464,
20040082150,
20040087051,
20040092060,
20040118451,
20040121507,
20040123796,
20040142503,
20040150001,
20040155249,
20040173812,
20040183078,
20040185665,
20040188791,
20040195624,
20040227187,
20040247218,
20040256613,
20040256647,
20040262617,
20050001216,
20050003572,
20050009304,
20050017351,
20050035410,
20050040444,
20050045983,
20050054164,
20050054180,
20050056827,
20050056892,
20050072995,
20050073028,
20050093021,
20050093154,
20050104152,
20050104156,
20050118793,
20050118825,
20050121688,
20050127451,
20050136626,
20050139860,
20050145941,
20050145954,
20050148161,
20050156169,
20050156202,
20050161711,
20050164475,
20050181549,
20050184302,
20050205859,
20050205932,
20050211291,
20050212051,
20050217565,
20050245095,
20050263751,
20050274409,
20050280103,
20060009012,
20060019462,
20060049409,
20060057825,
20060073681,
20060105533,
20060112986,
20060113603,
20060128124,
20060131606,
20060144435,
20060145264,
20060160291,
20060162768,
20060166437,
20060169987,
20060175601,
20060186510,
20060189056,
20060197123,
20060197124,
20060197126,
20060202276,
20060205197,
20060211210,
20060266281,
20060267047,
20060292719,
20070025670,
20070029643,
20070054465,
20070054467,
20070099315,
20070099329,
20070102721,
20070105256,
20070105274,
20070105335,
20070132022,
20070181977,
20070187668,
20070187796,
20070196987,
20070248132,
20070267722,
20080001169,
20080070355,
20080073641,
20080073667,
20080093622,
20080099785,
20080154197,
20080187018,
20080194078,
20080245400,
20080257409,
20080286957,
20090039361,
20090042344,
20090065047,
20090072284,
20090110898,
20090321882,
20100012976,
20100025683,
20100072515,
20100176371,
20100176375,
20100213511,
20100216277,
20100252861,
20100308376,
20110011438,
20110049568,
20110086498,
CN101268547,
CN101300663,
CN2550906,
DE10017137,
DE10320160,
EP352472,
EP600276,
EP817096,
EP1551063,
EP1796180,
GB2215514,
JP10126010,
JP10284436,
JP10284507,
JP11251684,
JP11307866,
JP2000021789,
JP2000216432,
JP2000286449,
JP2000299532,
JP2001007447,
JP2001102678,
JP2001257351,
JP2002118255,
JP2002141553,
JP2002241192,
JP2002293698,
JP2003163370,
JP2004200375,
JP2009177167,
JP2062090,
JP3202223,
JP3515974,
JP7230952,
KR20030065631,
KR20090010284,
TW544930,
WO72383,
WO101465,
WO2086952,
WO2088834,
WO209187,
WO3073517,
WO2004004927,
WO2004023536,
WO2005013375,
WO2005048330,
WO2005098963,
WO2005122267,
WO2006025407,
WO2006125040,
WO2007014294,
WO2008124154,
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Dec 17 2013Taiwan Semiconductor Manufacturing Company, Ltd.(assignment on the face of the patent)
Date Maintenance Fee Events
Oct 01 2018M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Sep 30 2022M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
Mar 31 20184 years fee payment window open
Oct 01 20186 months grace period start (w surcharge)
Mar 31 2019patent expiry (for year 4)
Mar 31 20212 years to revive unintentionally abandoned end. (for year 4)
Mar 31 20228 years fee payment window open
Oct 01 20226 months grace period start (w surcharge)
Mar 31 2023patent expiry (for year 8)
Mar 31 20252 years to revive unintentionally abandoned end. (for year 8)
Mar 31 202612 years fee payment window open
Oct 01 20266 months grace period start (w surcharge)
Mar 31 2027patent expiry (for year 12)
Mar 31 20292 years to revive unintentionally abandoned end. (for year 12)