An integrated circuit can include multiple SRAM cells, each including at least two pull-up transistors, at least two pull-down transistors, and at least two pass-gate transistors, each of the transistors having a gate; at least one of the pull-up transistors, the pull-down transistors, or the pass-gate transistors having a screening region a distance below the gate and separated from the gate by a semiconductor layer, the screening region having a concentration of screening region dopants, the concentration of screening region dopants being higher than a concentration of dopants in the semiconductor layer, the screening region providing an enhanced body coefficient for the pull-down transistors and the pass-gate transistors to increase the read static noise margin for the SRAM cell when a bias voltage is applied to the screening region; and a bias voltage network operable to apply one or more bias voltages to the multiple SRAM cells.

Patent
   9362291
Priority
May 13 2011
Filed
Aug 09 2014
Issued
Jun 07 2016
Expiry
May 14 2032

TERM.DISCL.
Assg.orig
Entity
Large
2
525
currently ok
1. An integrated circuit comprising:
multiple static random access memory (SRAM) cells, each SRAM cell having at least two pull-up transistors, at least two pull-down transistors, and at least two pass-gate transistors, each of the transistors having a gate;
at least one of the pull-up transistors, the pull-down transistors, or the pass-gate transistors having a screening region positioned a distance below the gate and separated from the gate by a semiconductor layer, the screening region having a concentration of screening region dopants, the concentration of screening region dopants being higher than a concentration of dopants in the semiconductor layer, the screening region providing an enhanced body coefficient for the pull-down transistors and the pass-gate transistors to increase the read static noise margin for the SRAM cell when a bias voltage is applied to the screening region; and
a bias voltage network operable to apply one or more bias voltages to the multiple SRAM cells.
2. The integrated circuit of claim 1 wherein the bias voltage is a source bias.
3. The integrated circuit of claim 1, wherein the bias voltage is a dynamic bias.
4. The integrated circuit of claim 1, further comprising:
a power supply line connected to the sources of the two pull-up transistors of each SRAM to apply a power supply thereto, the power supply line applying a boosted power supply voltage to the pull-up transistors for one or more selected SRAM cells, wherein enhanced body coefficient of the pull-up transistors increases a read static noise margin of the selected SRAM cell when the boosted power supply voltage is applied to the pull-up transistors.
5. The integrated circuit of claim 1, wherein the bias voltage is a forward body bias voltage that is applied to the screening region of the pull-up transistors, the forward 5 body bias voltage being applied during a read operation to increase the read static noise margin of the SRAM cell.
6. The integrated circuit of claim 5, wherein the applied forward body bias voltage 10 provides a predetermined read static noise margin for the SRAM cell.
7. The integrated circuit of claim 1, wherein the bias voltage is a reverse body bias voltage that is applied to the screening region of the pull-up transistors, the reverse body bias voltage being applied during a write operation to increase the write margin of 15 the SRAM cell.
8. The integrated circuit of claim 7, wherein the applied reverse body bias voltage provides a predetermined write margin for the SRAM cell.
9. The integrated circuit of claim 1, wherein the enhanced body coefficient provides a predetermined write margin for the SRAM cell.
10. The integrated circuit of claim 9, wherein the enhanced body coefficient provides 25 a predetermined write margin for the SRAM cell, wherein the write margin is less than the read static noise margin.
11. The integrated circuit of claim 1, further comprising:
a plurality of SRAM cell groups, each group having a plurality of SRAM cells;
at least one body bias control circuit to generate a body bias control signal for each group, the body bias control signal of a first group transitioning from an inactive level to an active level in response to a first received memory address selecting at least one SRAM cell in the first group; and
a body bias network for each group, the body bias network being operable to apply a first body bias voltage to the screening regions of the SRAM cells of the first group if the corresponding body bias control signal is at an inactive level, the biasing network being further operable to apply a second body bias voltage to the screening regions of the SRAM cells of the first group if the corresponding 10 body bias control signal is at an active level, the biasing network being operable to apply the first and second body bias voltage to the SRAM cells in the first group and not an adjacent group.
12. The integrated circuit of claim 11, further including:
a plurality of word lines for each group, at least a first word line of the plurality of word lines transitioning from an inactive level to an active level in response to the first received memory address selecting at least a first row of SRAM cells in the first group, wherein the first word line transitions to the active level at least a first predetermined duration of time after the body bias control 20 signal transitions to the active level.
13. The integrated circuit of claim 11, further comprising:
a standard decode section operable to drive the first word line to the active level if the received first memory address selects the SRAM cell 25 in the first group of memory cells; and
a body bias decode section generating a plurality of body bias control signals in response to the first received memory address, each body bias control signal corresponding to a group of memory cells, the body bias decode section being operable to drive the body bias control 30 signal of the first group to the active level if the received first memory address selects at least one SRAM cell in the first group,
wherein the body bias decode section drives the body bias control signal of the first group at least a first predetermined duration of time before the standard decode section drives the first word line to the active level.
14. The integrated circuit of claim 10, further comprising:
the first word line transitioning from the active level to the inactive level in response to a second received memory address not selecting the first row of SRAM cells; and
the body bias select signal of the first group transitioning from the active 10 level to the inactive level in response to the received second memory address not selecting at least one SRAM cell in the first group,
wherein the body bias select signal of the first group transitions to the inactive level after at least a second predetermined duration of time following the first word line transitioning to the inactive level.
15. The integrated circuit of claim 1, further comprising:
a plurality of SRAM cell groups, each group having a plurality of SRAM cells;
at least one source bias control circuit to generate source bias control 20 signals for each group, the source bias control signal of a first group transitioning from an inactive level to an active level in response to a first received memory address selecting at least one SRAM cell in the first group; and
a source bias network for each group, the source bias network being operable to apply a first source bias voltage to the SRAM cells of the first group if 25 the corresponding source bias control signal is at an inactive level, the source bias network being further operable to apply a second source bias voltage to the SRAM cells of the first group if the corresponding source bias control signal is at an active level, the source bias network being operable to apply the first and second source bias voltage to the SRAM cells in the first group and not an 30 adjacent group.
16. The integrated circuit of claim 15, further including:
a plurality of word lines for each group, at least a first word line of the plurality of word lines transitioning from an inactive level to an active level in response to the first received memory address selecting at least a first row of 5 SRAM cells in the first group, wherein the first word line transitions to the active level at least a third predetermined duration of time after the source bias control signal transitions to the active level.
17. The integrated circuit of claim 16, further comprising:
a standard decode section operable to drive the first word line to the active level if the received first memory address selects the SRAM cell in the first group of memory cells; and
a source bias decode section generating a plurality of source bias control signals in response to the first received memory address, each 15 source bias control signal of the plurality of source bias control signals corresponding to a group of memory cells, the source bias decode section being operable to drive the source bias control signal of the first group to the active level if the received first memory address selects at least one SRAM cell in the first group,
wherein the source bias decode section drives the source bias control signal of the first group at least a third predetermined duration of time before the standard decode section drives the first word line to the active level.
18. The integrated circuit of claim 17, further comprising:
the first word line transitioning from the active level to the inactive level in response to a second received memory address not selecting the first row of SRAM cells; and
the source bias select signal of the first group transitioning from the active 30 level to the inactive level in response to the received second memory address not selecting at least one SRAM cell in the first group,
wherein the source bias select signal of the first group transitions to the inactive level after at least a fourth predetermined duration of time following the first word line transitioning to the inactive level.
19. The integrated circuit of claim 1, wherein:
the pull-up transistors, the pull-down transistors, and the pass-gate transistors lack halo implant regions near the transistor source and drain that extend into the channel.

This application is a continuation application of U.S. patent application Ser. No. 13/471,353 filed on May 14, 2012, which claims the benefit of U.S. Provisional Patent Application Ser. No. 61/486,051 filed on May 13, 2011, the contents both of which are incorporated by reference herein.

This disclosure relates generally to semiconductor devices and integrated circuits and, more specifically, to a Static Random Access Memory (SRAM) device and a method of operation that enhances the performance of the SRAM device.

There are several interrelated design parameters that must be considered during SRAM cell design. These include, static noise margin (hereinafter “SNM”), write margin, bit line speed, and data retention voltage. SNM is defined as the minimum DC noise voltage necessary to flip the state of the SRAM cell. An SRAM cell can have different SNM during read and write operations, referred to as read SNM and write SNM, respectively. Read SNM is also an indicator of cell stability and is sometimes simply referred to as cell stability. A higher read SNM indicates that it is more difficult to invert the state of the cell during a read operation. Write margin is defined as the minimum bit line voltage necessary to invert the state of an SRAM cell. A higher write margin indicates that it is easier to invert the state of the cell during a write operation. Read speed is defined as the bit line slew rate in response to a high word line voltage, typically the time from the rising edge assertion of word line until some differential between the high and falling bit line is obtained. Data retention voltage is defined as the minimum power supply voltage required to retain a logic value in the SRAM cell in standby mode.

As process technology has scaled, it has become increasingly difficult to control the variation of transistor parameters because of a variety of factors, including, for example, Random Dopant Fluctuation (RDF). Other reasons for this variation include dopant scattering effect, such as the well proximity effect, that makes the placement of dopants in MOSFET transistors increasingly difficult as transistor size is reduced. Misplaced dopants can reduce transistor performance, increase transistor variability, including variability of channel transconductance, capacitance effects, threshold voltage, and leakage. Such variability increases as transistors are reduced in size, with each misplaced dopant atom having a greater relative effect on transistor properties, as a result of the overall reduction in the number of dopant atoms.

In part because of such random variations, threshold voltage variations have become a limiting factor in transistor design as process technology is scaled downward. The resulting threshold voltage variations between neighboring MOSFETs can have significant impact on the SNM, cell stability, write margin, read speed, and data retention voltage of the SRAM cell. For example, threshold voltage variations between pass-gate and pull-down transistors of the SRAM cell can significantly degrade cell stability. During a read, the read current discharging the bit line flows through the series connection of the pass-gate and pull-down NMOS transistors. The voltage divider formed by these transistors raises the low voltage in the cell, and may unintentionally cause the cell to flip when read. Variations in the threshold voltage of the pass-gate or pull-down transistor can result in a large variation in the voltage divider ratio of the pass-gate transistors and the pull down transistors, increasing the likelihood of inverting the SRAM cell during a read operation, i.e., upsetting the stored state. Other SRAM cell design parameters such as write margin, bit line speed (as measured by slew rate) or read current, and data retention voltage can also be affected by threshold voltage variations.

Attempts have been made to correct the adverse effect of threshold voltage variations on SRAM cell performance. For example, U.S. Pat. No. 7,934,181 titled, “Method and Apparatus for Improving SRAM Cell Stability by Using Boosted Word Lines”, assigned to International Business Machines Corporation, sets out a boost voltage generator that applies a predetermined boosted word line voltage to the word line of a selected SRAM cell. The boosted word line voltage is predetermined for each SRAM cell, and is sufficiently higher than the power supply voltage of the SRAM cell to improve the cell stability to a desired level.

Alternatively, US Patent Publication 20100027322 titled, “Semiconductor Integrated Circuit and Manufacturing Method Therefor”, assigned to Renesas Technology Corp., sets out measuring the threshold voltages of PMOS and NMOS transistors of the SRAM, programming control information in control memories that are associated with PMOS and NMOS transistors based on the measurements, and adjusting the levels of the body bias voltages applied to the PMOS and NMOS transistors of the SRAM to compensate for the threshold voltage variations and improve manufacturing yield.

FIG. 1A illustrates an deeply depleted channel (DDC) transistor with a screening region, in accordance with one embodiment.

FIG. 1B is a flow diagram illustrating a general method for forming a DDC transistor having an enhanced body coefficient and reduced a Vt.

FIG. 2 illustrates an integrated circuit including static random access memory (SRAM) cells in accordance with one embodiment.

FIG. 3 illustrates butterfly curves and read static noise margins for SRAM cells using DDC transistors, and for SRAM cells using conventional transistors.

FIG. 4 illustrates butterfly curves showing read SNM and write SNM for SRAM cells using DDC transistors.

FIG. 5 illustrates butterfly curves showing an increase in read SNM resulting from the enhanced body coefficient of the NMOS DDC transistors.

FIG. 6 illustrates the drain current as a function of the drain voltage for a DDC transistor and a conventional transistor.

FIG. 7A illustrates butterfly curves for an SRAM cell using conventional transistors in the presence of threshold voltage variations.

FIG. 7B illustrates butterfly curves for an SRAM cell using DDC transistors in the presence of threshold voltage variations.

FIG. 8A illustrates the write margin for an SRAM cell using conventional transistors in the presence of threshold voltage variations.

FIG. 8B illustrates the write margin for an SRAM cell using DDC transistors in the presence of threshold voltage variations.

FIG. 9A illustrates bit line speed of an SRAM cell using conventional transistors in the presence of threshold voltage variations.

FIG. 9B illustrates bit line speed of an SRAM cell using DDC transistors in the presence of threshold voltage variations.

FIG. 10 illustrates an integrated circuit including static random access memory (SRAM) cells in accordance with one embodiment.

FIG. 11A is a timing diagram showing a DDC PMOS transistor source bias switching, in accordance with one embodiment.

FIG. 11B is a timing diagram showing a DDC NMOS transistor source bias switching, in accordance with one embodiment.

FIG. 12A is a timing diagram showing a DDC PMOS transistor source bias switching and DDC PMOS transistor body bias switching operation, in accordance with one embodiment.

FIG. 12B is a timing diagram showing a DDC NMOS transistor source bias switching and DDC NMOS transistor body bias switching operation, in accordance with one embodiment.

FIG. 13 is a block schematic diagram of a decoder circuit that can be included in certain embodiments.

FIG. 14 is a block schematic diagram of a memory device, in accordance with one embodiment.

FIG. 15 illustrates a layout of a SRAM cell using DDC transistors that has substantially no jogs or notches, in accordance with one embodiment.

Various embodiments of the present invention will now be described in detail with reference to a number of drawings. The embodiments show circuits and methods related to an integrated circuit device having transistors that have an enhanced body coefficient. The embodiments described herein also show circuits and methods related to an integrated circuit having improved transistor matching, such that the transistors have reduced variability of threshold voltage and body coefficient. Particular embodiments may include static random access memories having enhanced performance characteristics as described herein.

In the various embodiments below, like items are referred to by the same reference character but with the leading digits corresponding to the figure number.

FIG. 1A shows an embodiment of a deeply depleted channel (DDC) transistor 100 having an enhanced body coefficient, along with the ability to set threshold voltage Vt with enhanced precision, according to certain described embodiments. The DDC transistor 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 128 positioned over a substantially undoped channel 110. Lightly doped source and drain extensions (SDE) 132, positioned respectively adjacent to source 104 and drain 106, extend toward each other, setting the transistor channel length.

In FIG. 1A, the DDC transistor 100 is shown as an N-channel transistor having a source 104 and drain 106 made of N-type dopant material, formed upon a substrate such as a P-type doped silicon substrate providing a P-well 114 formed on a substrate 116. In addition, the N-channel DDC transistor in FIG. 1A includes a highly doped screening region 112 made of P-type dopant material, and a threshold voltage set region 111 made of P-type dopant material. However, it will be understood that, with appropriate changes to dopant materials, a P-channel DDC transistor can be formed.

FIG. 1B is a flow diagram 150 illustrating a general method for forming a DDC transistor having an enhanced body coefficient and reduced a Vt, in accordance with the various embodiments described herein. The process illustrated in FIG. 1B is intended to be general and broad in its description, and more detailed embodiments and examples are set forth below. Each block in the flow diagram is illustrated and described in further detail below, in conjunction with the various alternatives associated with each block illustrated in FIG. 1B.

In step 152, the process begins at well formation, which can include one or more different process steps in accordance with different embodiments. The well formation step 152 includes the steps for forming the screening region 112, the threshold voltage set region 111 (if present), and the substantially undoped channel 110. As indicated in 153, the well formation 152 can be before or after STI (shallow trench isolation) formation 154.

The well formation 152 can include forming the screening region 112 by implanting dopants into the P-well 114, followed by an epitaxial (EPI) pre-clean process that is followed by a blanket or selective EPI deposition. Various alternatives for performing these steps are illustrated in FIG. 1B. In accordance with one embodiment, well formation 152 can include a beam line implant of Ge/B (N), As (P), followed by an epitaxial (EPI) pre-clean process, and followed by a non-selective blanket EPI deposition, as shown in 152A. Alternatively, the well formation 152 can include using a plasma implant of B (N), As (P), followed by an EPI pre-clean, then a non-selective (blanket) EPI deposition, as shown in 152B. The well formation 152 can alternatively include a solid-source diffusion of B(N), As(P), followed by an EPI pre-clean, and followed by a non-selective (blanket) EPI deposition, as shown in 152C. As yet another alternative, well formation 152 can also include well implants, followed by in-situ doped selective EPI of B (N), P (P) as shown in 152D. As will be described further below, the well formation can be configured with different types of devices in mind, including DDC transistors, legacy transistors, high VT transistors, low VT transistors, improved σVT transistors, and standard or legacy σVT transistors. Embodiments described herein allow for any one of a number of devices configured on a common substrate with different well structures and according to different parameters.

In step 152, Boron (B), Indium (I), or other P-type materials can be used for P-type implants, and arsenic (As), antimony (Sb) or phosphorous (P) and other N-type materials can be used for N-type implants. In certain embodiments, the screening region 112 can have a dopant concentration between about 1×1019 to 5×1020 dopant atoms/cm3, with the selected dopant concentration dependent on the desired threshold voltage as well as other desired transistor characteristics. A germanium (Ge), carbon (C), or other dopant migration resistant layer can be incorporated above the screening region to reduce upward migration of dopants. The dopant migration resistant layer can be formed by way of ion implantation, in-situ doped epitaxial growth or other process. In certain embodiments, a dopant migration resistant layer can also be incorporated to reduce downward migration of dopants.

In certain embodiments of the DDC transistor, a threshold voltage set region 111 is positioned above the screening region 112. The threshold voltage set region 111 can be either adjacent to, incorporated within or vertically offset from the screening region. In certain embodiments, the threshold voltage set region 111 is formed by delta doping, controlled in-situ deposition, or atomic layer deposition. In alternative embodiments, the threshold voltage set region 111 can be formed by way of controlled outdiffusion of dopant material from the screening region 112 into an undoped epitaxial layer, or by way of a separate implantation into the substrate following formation of the screening region 112, before the undoped epitaxial layer is formed. Setting of the threshold voltage for the transistor is implemented by suitably selecting dopant concentration and thickness of the threshold voltage set region 111, as well as maintaining a separation of the threshold voltage set region 111 from the gate dielectric 128, leaving a substantially undoped channel layer directly adjacent to the gate dielectric 128. In certain embodiments, the threshold voltage set region 111 can have a dopant concentration between about 1×1018 dopant atoms/cm3 and about 1×1019 dopant atoms per cm3. In alternative embodiments, the threshold voltage set region 111 can have a dopant concentration that is approximately less than half of the concentration of dopants in the screening region 112.

In certain embodiments, the final layer of the channel is formed above the screening region 112 and threshold voltage set region 111 by way of a blanket or selective EPI deposition (as shown in the alternatives shown in 152A-D), to result in a substantially undoped channel region 110 of a thickness tailored to the technical specifications of the device. As a general matter, the thickness of the substantially undoped channel region 110 ranges from approximately 5-25 nm, with the selected thickness based upon the desired threshold voltage for the transistor. Preferably, a blanket EPI deposition step is performed after forming the screening region 112, and the threshold voltage setting region 111 is formed by controlled outdiffusion of dopants from the screening region 112 into a portion of the blanket EPI layer, as described below. Dopant migration resistant layers of C, Ge, or the like can be utilized as needed to prevent dopant migration from the threshold voltage set region 111 into the substantially undoped channel region 110, or alternatively from the screening region 112 into the threshold voltage set region 111.

In addition to using dopant migration resistant layers, other techniques can be used to reduce upward migration of dopants from the screening region 112 and the threshold voltage set region 111, including but not limited to low temperature processing, selection or substitution of low migration dopants such as antimony or indium, low temperature or flash annealing to reduce interstitial dopant migration, or any other technique to reduce movement of dopant atoms can be used.

As described above, the substantially undoped channel region 110 is positioned above the threshold voltage set region 111. Preferably, the substantially undoped channel region 110 has a dopant concentration less than 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 128. In some embodiments, the substantially undoped channel region 110 can have a dopant concentration that is specified to be approximately less than one tenth of the dopant concentration in the screening region 112. In still other embodiments, depending on the transistor characteristics desired, the substantially undoped channel region 110 may contain dopants so that the dopant concentration is elevated to above 5×1017 dopant atoms per cm3 adjacent or near the gate dielectric 128. Preferably, the substantially undoped channel region 110 remains substantially undoped by avoiding the use of halo or other channel implants.

Referring to FIG. 1B, STI formation 154, which, again, can occur before or after well formation 152, can include a low temperature trench sacrificial oxide (TSOX) liner, which is formed at a temperature lower than 900° C. as shown by 154A. Embodiments that form the STI structures after the blanket EPI deposition step, using a process that remains within a low thermal budget, can reduce dopant migration from the previously formed screening region 112 and threshold voltage setting region 111.

As shown in step 156 (FIG. 1B), the gate stack 108 can be formed or otherwise constructed above the substantially undoped channel region 110 in a number of different ways, from different materials, and of different work functions. One option is a poly/SiON gate stack 156A. Another option is a gate-first process 156B that includes SiON/Metal/Poly and/or SiON/Poly, followed by High-K/Metal Gate. Another option, a gate-last process 156C includes a high-K/metal gate stack wherein the gate stack can either be formed with “Hi-K first-Metal gate last” flow or and “Hi-K last-Metal gate last” flow. Yet another option, 156D is a metal gate that includes a tunable range of work functions depending on the device construction. Preferably, the metal gate materials for NMOS and PMOS are selected to near mid-gap, to take full advantage of the DDC transistor. However, traditional metal gate work function band-gap settings may also be used. In one scheme, metal gate materials can be switched between NMOS and PMOS pairs as a way to attain the desired work functions for given devices.

A gate stack may be formed or otherwise constructed above the substantially undoped channel region 110 in a number of different ways, from different materials including polysilicon and metals to form what is known as “high-k metal gate”. The metal gate process flow may be “gate 1st” or “gate last”. Preferably, the metal gate materials for NMOS and PMOS are selected to near mid-gap, to take full advantage of the DDC transistor. However, traditional metal gate work function band-gap settings may also be used. In one scheme, metal gate materials can be switched between NMOS and PMOS pairs as a way to attain the desired work functions for given devices. Following formation of the gate stack, source/drain portions may be formed. Typically, the extension portions are implanted, followed by additional spacer formation and then implant or, alternatively, selective epitaxial deposition of deep source/drain regions.

In step 158, Source/Drain tips can be implanted. The dimensions of the tips can be varied as required, and will depend in part on whether gate spacers (SPGR) are used. In one embodiment, Source/Drain tips are not formed, and there may be no tip implant.

In step 160, the source 104 and drain 106 can be formed preferably using conventional processes and materials such as ion implantation (160A) and in-situ doped epitaxial deposition (160B). Optionally, as shown in step 160C, PMOS or NMOS selective EPI layers can be formed in the source and drain regions as performance enhancers for strained channels. Source 104 and drain 106 can further include raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (lightly doped drain) techniques, provided that the thermal budget for any anneal steps be within the boundaries of what is required to keep the screening region 112 and threshold voltage setting region 111 substantially intact.

In step 162, a metal gate is formed in accordance with a gate last process. Step 162 is optional and may be performed only for gate-last processes (162A).

Referring to FIG. 1A, the channel 110 contacts and extends between the source 104 and the drain 106, and supports movement of mobile charge carriers between the source and the drain. In operation, when gate electrode voltage is applied to the DDC transistor 100 at a predetermined level, a depletion region formed in the substantially undoped channel 110 can extend to the screening region 112, since channel depletion depth is a function of the integrated charge from dopants in the doped channel lattice, and the substantially undoped channel 110 has very few dopants. The screening region 112, if fabricated according to specification, effectively pins the depletion region to define the depletion zone width.

The threshold voltage in conventional field effect transistors (FETs) can be set by directly implanting a “threshold voltage implant” into the channel, raising the threshold voltage to an acceptable level that reduces transistor off-state leakage while still allowing speedy transistor switching. Alternatively, the threshold voltage (Vt) in conventional FETs can also be set by a technique variously known as “halo” implants, high angle implants, or pocket implants. Such implants create a localized, graded dopant distribution near a transistor source and drain that extends a distance into the channel. Halo implants are often required by transistor designers who want to reduce unwanted source/drain leakage conduction or “punch through” current, but have the added advantage of adjusting threshold voltage. Unfortunately halo implants introduce additional process steps, thereby increasing the manufacturing cost. Also, halo implants can introduce additional dopants in random, unwanted locations in the channel. These additional dopants increase the variability of threshold voltage between transistors, and decrease mobility and channel transconductance due to the adverse effects of additional and unwanted dopant scattering centers in the channel. Eliminating or greatly reducing the number of halo implants is desirable for reducing manufacture time and making more reliable wafer processing. By contrast, the techniques for forming the DDC transistor 100 use different threshold voltage setting techniques that do not rely on halo implants (i.e. haloless processing) or channel implants to set the threshold voltage to a desired range. By maintaining a substantially undoped channel near the gate, the DDC transistor further allows for greater channel mobility for electron and hole carriers with improved variation in threshold voltage from device to device.

As will also be appreciated, position, concentration, and thickness of the screening region 112 are important factors in the design of the DDC transistor. In certain embodiments, the screening region is located above the bottom of the source and drain junctions. To dope the screening region so as to cause its peak dopant concentration to define the edge of the depletion width when the transistor is turned on, methods such as delta doping, broad dopant implants, or in-situ doping is preferred, since the screening region 112 should have a finite thickness to enable the screening region 112 to adequately screen the well therebelow while avoiding creating a path for excessive junction leakage. When transistors are configured to have such screening regions, the transistor can simultaneously have good threshold voltage matching, high output resistance, low junction leakage, good short channel effects, and still have an independently controllable body due to a strong body effect. In addition, multiple DDC transistors having different threshold voltages can be easily implemented by customizing the position, thickness, and dopant concentration of the threshold voltage set region 111 and/or the screening region 112 while at the same time achieving a reduction in the threshold voltage variation. In one embodiment, the screening region is positioned such that the top surface of the screening region is located approximately at a distance of Lg/1.5 to Lg/5 below the gate (where Lg is the gate length). In one embodiment, the threshold voltage set region has a dopant concentration that is approximately 1/10 of the screening region dopant concentration. In certain embodiments, the threshold voltage set region is thin so that the combination of the threshold voltage set region and the screening region is located approximately within a distance of Lg/1.5 to Lg/5 below the gate.

Modifying threshold voltage by use of a threshold voltage set region 111 positioned above the screening region 112 and below the substantially undoped channel 110 is an alternative technique to conventional threshold voltage implants for adjusting threshold voltage. Care must be taken to prevent dopant migration into the substantially undoped channel 110, and use of low temperature anneals and anti-migration materials such as carbon or germanium is recommended for many applications. More information about the formation of the threshold voltage set region 111 and the DDC transistor is found in pending U.S. patent application Ser. No. 12/895,785 filed Sep. 30, 2010, published as US Patent Publication 2011/0079861, the entirety of which disclosure is herein incorporated by reference.

Yet another technique for modifying threshold voltage relies on selection of a gate material having a suitable work function. The gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof. In certain embodiments the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy. Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride. Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods. Typically, the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers. In certain embodiments, metals having a work function intermediate between band edge and mid-gap can be selected. As discussed in pending U.S. patent application Ser. No. 12/960,266 filed Dec. 3, 2010, issued as U.S. Pat. No. 8,569,128, the entirety of which disclosure is herein incorporated by reference, such metal gates simplify swapping of PMOS and NMOS gate metals to allow a reduction in mask steps and different required metal types for systems on a chip or other die supporting multiple transistor types.

Applied bias to the screening region 112 is yet another technique for modifying threshold voltage of the DDC 100. The screening region 112 sets the body effect for the transistor and allows for a higher body effect than is found in conventional FET technologies. For example, a body tap 126 to the screening region 112 of the DDC transistor can be formed in order to provide further control of threshold voltage. The applied bias can be either reverse or forward biased, and can result in significant changes to threshold voltage. Bias can be static or dynamic, and can be applied to isolated transistors, or to groups of transistors that share a common well. Biasing can be static to set threshold voltage at a fixed set point, or dynamic, to adjust to changes in transistor operating conditions or requirements. Various suitable biasing techniques are disclosed in pending U.S. patent application Ser. No. 12/708,497 filed Feb. 18, 2010, and issued U.S. Pat. No. 8,273,617, the entirety of which disclosure is herein incorporated by reference.

Advantageously, DDC transistors created in accordance with the foregoing embodiments, structures, and processes, can have a reduced mismatch arising from scattered or random dopant variations as compared to conventional MOS transistors. In certain embodiments, the reduced variation results from the adoption of structures such as the screening region, the optional threshold voltage set region, and the epitaxially grown channel region. In certain alternative embodiments, mismatch between DDC transistors can be reduced by implanting the screening layer across multiple DDC transistors before the creation of transistor isolation structures, and forming the channel layer as a blanket epitaxial layer that is grown before the creation of transistor epitaxial structures. In certain embodiments, the screening region has a substantially uniform concentration of dopants in a lateral plane. The DDC transistor can be formed using a semiconductor process having a thermal budget that allows for a reasonable throughput while managing the diffusivities of the dopants in the channel. Further examples of transistor structure and manufacture suitable for use in DDC transistors are disclosed in U.S. patent application Ser. No. 12/708,497, filed on Feb. 18, 2010, titled ELECTRONIC DEVICES AND SYSTEMS, AND METHODS FOR MAKING AND USING THE SAME, and issued as U.S. Pat. No. 8,273,617, by Scott E. Thompson et al., as well as U.S. patent application Ser. No. 12/971,884, filed on Dec. 17, 2010 titled Low Power Semiconductor Transistor Structure and Method of Fabrication Thereof, and issued as U.S. Pat. No. 8,530,286, and U.S. patent application Ser. No. 12/971,955 filed on Dec. 17, 2010 titled Transistor with Threshold Voltage Set Notch and Method of Fabrication Thereof, and issued as U.S. Pat. No. 8,759,872, the respective contents of which are incorporated by reference herein.

Referring initially to FIG. 2, an integrated circuit device according to an embodiment is shown in a block diagram and designated by the general reference character 200. Integrated circuit 200 is a Static Random Access Memory (SRAM) device that may include a number of SRAM cells, including SRAM cells arranged in multiple rows and columns. For ease of discussion, however, only two SRAM cells 205 and 210 are illustrated and discussed along with the associated column power supplies 215 and 220 to generate the applied power supply voltages. The SRAM cells 205 and 210 are implemented using DDC transistors.

In FIG. 2, the SRAM cell 205 includes a pair of pass-gate DDC transistors 225 and 230, a pair of pull-up DDC transistors 235 and 240, and a pair of pull-down DDC transistors 245 and 250. The pass-gate DDC transistors 225 and 230, and the pull-down DDC transistors 245 and 250 are typically NMOS transistors. The pass-gate DDC transistors 225 and 230 couple a pair of data lines BL0 and BLN0, also referred to as “bit lines”, to storage nodes SN1 and SN2 respectively, where the voltages at nodes SN1 and SN2 are inversely related. The pull-down DDC transistors 245 and 250 couple a power supply VSS, usually the ground voltage of the circuit, to the storage nodes SN1 and SN2 respectively. The pull-up DDC transistors 235 and 240 are typically PMOS transistors that couple the positive power supply VDDCOL0 to the storage nodes SN1 and SN2 respectively. The substrates of the NMOS transistors are connected to the ground voltage of the circuit, and the substrates for the PMOS transistors are connected to a power supply voltage VBPCOL0. SRAM cell 210 is similar, and includes a pair of pass-gate DDC transistors 255 and 260, a pair of pull-up DDC transistors 265 and 270, a pair of pull-down DDC transistors 275 and 280, storage nodes SN3 and SN4, bit lines BL1 and BLN1, and power supplies VDDCOL1 and VBPCOL1.

In FIG. 2 each column of the SRAM 200 includes a column power supply block that supplies the power supply voltage for the corresponding column. The column power supply block 215 supplies the power supply voltage VDDCOL0 and body bias voltage VBPCOL0, and the column power supply block 220 supplies the power supply voltage VDDCOL1 and body bias voltage VBPCOL1. Each column power supply block independently controls the power supply voltage and PMOS pull-up transistor body bias voltage supplied to each column such that each column can receive different power supply and body bias voltages. In addition, the column power supply block can provide different power supply voltages and body bias voltages to the same column at different times, or during different modes of operation. For example, as described in more detail below, the column power supply block can supply different power supply voltages and/or body bias voltages to the corresponding column during read and write operations.

The SRAM cell shown in FIG. 2 can retain its state indefinitely as long as the supplied power is sufficient to operate the cell correctly. The SRAM cell 205 includes two cross-coupled inverters formed of the pair of transistors 235 and 245, and 240 and 250. The two inverters operate to reinforce the stored charge on storage nodes SN1 and SN2 continuously, such that the voltages at each of the two storage nodes are inverted with respect to one another. When SN1 is at a logical “1”, usually a high voltage, SN2 is at a logical “0”, usually a low voltage, and vice versa.

Referring to FIG. 2, a write operation can be performed to store data in a selected SRAM cell, and a read operation can be performed to access stored data in a selected SRAM cell. In one embodiment, data is stored in a selected SRAM cell, e.g. SRAM cell 205, during a write operation by placing complementary write data signals on the two bit lines BL0 and BLN0, and placing a positive voltage VWL on the word line WL connected to the gate of the pass-gate transistors 225 and 230, such that the two bit lines are coupled to the storage nodes SN1 and SN2, respectively. The write operation is successful when the write data signals on the two bit lines overcome the voltages on the two storage nodes and modify the state of the SRAM cell. The cell write is primarily due to the bit line driven low overpowering the PMOS pull-up transistor via the pass-gate transistor. Thus the relative strength ratio of the NMOS pass-gate transistor to the PMOS pull-up transistor is important to maximizing the write margin. Data is accessed from a selected SRAM cell, e.g. SRAM cell 205, during a read operation by placing a positive voltage VWL on the word line WL such that the pass-gate transistors 225 and 230 allow the storage nodes SN1 and SN2 to be coupled to the bit lines BL0 and BLN0 respectively. During the read operation the SRAM cell 205 drives complementary read data signals onto the bit lines BL0 and BLN0. The differential voltage on the bit lines BL0 and BLN0 can be sensed using a differential sense amplifier (not shown) that senses and amplifies the differential voltage signal on the bit lines. The output of the sense amplifier is subsequently output as the read data for the selected SRAM cell.

In one embodiment, during the write operation for selected SRAM cell 205 in FIG. 2, the column power supply control 215 places a high reverse body bias voltage on VBPCOL0 and a normal power supply voltage VDD on VDDCOL0, thereby applying a reverse body bias to the PMOS pull-up transistors 235 and 240 and reducing their leakage and drive current. For example, a power supply voltage (VDD) of 1 Volt is placed on the column power supply node VDDCOL0, and a reverse body bias voltage of 1.25 Volts is placed on the body bias control node VBPCOL0. Typically, VWL is VDD. During the read operation, the column power supply control 215 places a boosted power supply voltage 1.25 Volts on VDDCOL0, thereby reducing the difference between the voltages applied to the substrate and the source of the PMOS pull-up transistors 235 and 240. Therefore, the reverse bias applied to the PMOS pull-up transistors 235 and 240 during the read operation is lower than the reverse bias applied during the write operation. Since the PMOS pull-up transistors have an enhanced body coefficient, the reduction in reverse bias results in an increased current drive capability for these transistors. Therefore, the PMOS pull-up transistors 235 and 240 have a higher current drive capability during the read operation as compared to the write operation. The higher current drive capability of the PMOS pull-up transistor during read operations results in an increase in the read SNM, and therefore, an increase in cell stability for the SRAM cell 205. In addition, the write SNM that can be lower than the read SNM, and therefore, the SRAM cell 205 has reduced stability during the write operation which facilitates writing. Reduced write SNM due to a weaker PMOS transistor can translate directly to increased write margin.

Referring to the SRAM cell 205 in FIG. 2, in an alternative embodiment, the column power supply control block 215 places a lower body bias voltage VDD on VBPCOL0. In addition, the column power supply control 215 places a normal power supply voltage VDD on VDDCOL0 during the write operation, and a boosted power supply voltage on VDDCOL0 during the read operation. Typically VDD is 1 Volt and the boosted power supply voltage is 1.25 Volts. Therefore, a zero body bias voltage is applied to the PMOS pull-up transistors 235 and 240 during the write operation, and a forward body bias voltage is applied to the PMOS pull-up transistors during the read operation. As a result of the enhanced body coefficient, the PMOS pull-up transistors 235 and 240 have a higher current drive capability during the read operation as compared to the write operation. The higher current drive capability during read operations results in an increase in the read SNM, and therefore, an increase in stability for the SRAM cell 205. In addition, the write SNM that can be lower than the read SNM, and therefore, the SRAM cell 205 has reduced stability during the write operation. Other embodiments may apply PMOS reverse body bias during standby or write operations and forward body bias during read operations by driving appropriate voltages on VBPCOL0, VDDCOL0, or both.

It is noted that the SRAM 200 can include a plurality of word lines and bit lines, even though only one word line and two sets of bit lines have been shown in FIG. 2. Therefore, even though only two SRAM cells 205 and 210 are shown in FIG. 2, other SRAM cells (not shown) can be placed at intersections of the plurality of word lines and bit lines. In some embodiments, the SRAM 200 can have 8, 16, 32, 64, 128 or more columns that can be arranged in word widths of 8, 16, 32, 64, 128, 256, or more cells. In some embodiments, each column of the SRAM 200 can have an associated column power supply block that independently controls the column power supply voltages provided to the corresponding column. In alternative embodiments, each column of the SRAM 200 can be sub-divided into column sub-groups, where each column sub-group has an associated column power supply block that independently controls the column power supply voltages provided to corresponding column subgroup. In certain other embodiments, one column power supply block can be associated with more than one column or column subgroup. In addition, power supply and body bias voltages other than the ones described above may be applied to the SRAM cells of SRAM 200 during read and write operations. Such power supply voltages can be selected based on the design of the SRAM cell, and the electrical characteristics of the DDC transistors used in the SRAM cell.

Further embodiments of the SRAM 200 can sub-divide the constituent SRAM cells into multiple groups, where each group includes a plurality of SRAM cells in a row direction and a plurality of SRAM cells in a column direction. Each group can also include a biasing network that couples a power supply block associated with the group to the power supply voltage connections and/or the body bias voltage connections of the SRAM cells in the group, and is not coupled to the other groups. Thus, the power supply voltage and/or the body bias voltage for each group can be independently selected. In one embodiment, the power supply block generates different power supply and/or body bias voltages for each group depending on whether at least one SRAM cell in the group is being accessed for a read operation (read mode), or at least one SRAM cell in the group is being accessed for a write operation (write mode), or none of the SRAM cells in the group are being accessed for either read or write operation (standby mode). Therefore, at a particular time, the power supply blocks associated with the groups of SRAM cells can be configured as one or more rows or groups of SRAM cells to operate in a read mode while configuring the other groups of SRAM cells in the SRAM 200 to operate in a standby mode of operation, as determined by the memory address and read/write control signals received by the SRAM 200. In an alternative embodiment, the power supply block generates different power supply and/or body bias voltages for each column of the group depending on whether at least one SRAM cell in the column of the group is being accessed for a read operation (read mode), or at least one SRAM cell in the column of the group is being accessed for a write operation (write mode), or none of the SRAM cells in the group are being accessed for either read or write operation (standby mode). At a particular time, the power supply blocks can select one value of body bias voltage for a column of the group that is being accessed for a write operation (i.e., at least one SRAM cell in the column is being accessed for a write operation), and a different value of body bias voltage for other columns in the group that not being accessed for a write operation. Similarly, the power supply blocks can select one value of power supply voltage for a column of the group that is being accessed for a write operation, and a different value of body bias voltage for other columns in the group that not being accessed for a write operation. The values of the body bias voltage and the power supply voltage for each column of the group can be selected independently of each other.

FIG. 3 illustrates butterfly curves and read SNMs for SRAM cells using DDC transistors, and for SRAM cells using conventional transistors. The butterfly curves shown in FIG. 3 are obtained from SPICE simulations performed for SRAM cells using 65 nm technology node DDC transistors, and for SRAM cells using 65 nm technology node conventional transistors. These butterfly curves are only provided as an example. Similar butterfly curves can be obtained from SPICE simulations performed for SRAM cells using DDC transistors and SRAM cells using conventional transistors that are fabricated using other technology nodes, e.g., 40 nm, 28 nm, etc. Each butterfly curve consists of two voltage transfer curves, where one of the voltage transfer curve corresponds to one of the inverters in the SRAM cell, and the other voltage transfer curve is the result of taking the first voltage transfer curve and flipping it and rotating it by 90 degrees. The two voltage transfer curves 305 and 310 together represent the butterfly curve for an SRAM cell implemented using DDC transistors. Similarly, the two voltage transfer curves 315 and 320 together represent the butterfly curve for an SRAM cell implemented using conventional transistors. The SRAM cells associated with the two butterfly curves in FIG. 3 differ with regard to the type of transistor used in the SRAM cell, i.e., DDC transistor vs. conventional transistor, but are otherwise identical in all respects, such as transistor sizes for the transistors used in the cells and the voltages applied by the column power supply during read and write operations. The butterfly curves in FIG. 3 are obtained for voltages applied during read operations, such that VDDCOL0 is 0.8 Volts, and VBPCOL0 is 0.6 Volts.

Referring to FIG. 3, the read SNM is the length of a side of a largest square that can be drawn between the two voltage transfer curves that are part of the butterfly curve. Therefore, a larger opening between the two voltage transfer curves, i.e., a larger eye in the butterfly curve indicates increased read SNM and increased cell stability of the SRAM cell. Regions 325 and 330 of the butterfly curve represent the increase in cell stability for the SRAM cell using DDC transistors resulting from the enhanced body coefficient of the PMOS pull-up transistors when the VDD and VBP is varied as described. The increased body effect can raise or lower the threshold voltage of the NMOS pass-gate transistor when the stored low voltage in the cell rises, weakening the NMOS pass-gate transistor with respect to the NMOS pull-down transistor and providing a more favorable strength ratio during read operations. Similarly, regions 335 and 340 of the butterfly curves represent the increase in cell stability for the SRAM cell using DDC transistors resulting from the enhanced body coefficient of the NMOS pull-down and pass-gate transistors. Therefore, the SRAM cell using DDC transistors has increased cell stability because of the increased stability resulting from regions 325, 330, 335, and 340. The read SNM for the SRAM cell using DDC transistors is 186 millivolts, and the read SNM for the SRAM cell using conventional transistors is 132 millivolts, as measured from the simulation results illustrated in FIG. 3. Similar curves may be obtained by experimental measurements of fabricated SRAM cells that are properly instrumented, i.e., have probe points on the internal cell nodes. In general, calibrated simulations are used to determine margins by simulation as is done here.

FIG. 4 shows butterfly curves illustrating that by driving the appropriate combination of VDDCOL and VBPCOL the read SNM can be greater than the SNM during write (an indicator of better write margin) for an SRAM cell using DDC transistors. The butterfly curves shown in FIG. 4 are obtained from SPICE simulations performed for SRAM cells using 65 nm technology node DDC transistors. These butterfly curves are only provided as an example. Similar butterfly curves can be obtained from SPICE simulations performed for SRAM cells using DDC transistors that are fabricated using other technology nodes, e.g., 40 nm, 28 nm, etc. The two voltage transfer curves 405 and 410, obtained from SPICE simulations, together represent a read butterfly curve that shows the voltage transfer characteristics of an SRAM cell implemented using DDC transistors during the read operation. Similarly, the two voltage transfer curves 415 and 420, obtained from SPICE simulations, together represent a write butterfly curve that shows the voltage transfer characteristics of an SRAM cell implemented using DDC transistors during the write operation. The SRAM cells associated with the two butterfly curves in FIG. 4 differ with regard to the body bias voltage applied to the PMOS pull-up transistor used in the SRAM cell, but are otherwise identical in all respects, such as transistor sizes and the power supply voltage applied to the PMOS pull-up transistor. The body bias voltage applied to the PMOS pull-up transistors during a read operation is sufficient to operate these transistors under forward body bias, while the body bias voltage applied to the PMOS pull-up transistors during write operations is sufficient to operate these transistors under reverse body bias. For the simulations in FIG. 4, the power supply voltage is 0.8 Volts, and the forward body bias voltage applied to the PMOS pull-up transistor is 0.25 Volts, i.e., VBPCOL0 is 0.55 Volts for read operations and the reverse body bias voltage is 0.25 Volts, i.e., VBPCOL0 is 1.05 Volts for write operations.

Referring to FIG. 4, the read SNM is the length of a side of a largest square that can be drawn between the two voltage transfer curves that are part of the read butterfly curve. Therefore, a larger opening between the two voltage transfer curves, i.e., a larger eye in the butterfly curve indicates increased read SNM and increased read stability of the SRAM cell. Region 425 of the butterfly curve shows that the read stability of the SRAM cell is higher than the write stability as a result of the enhanced body coefficient of the PMOS pull-up transistors and appropriate PMOS transistor biasing in the read and write operations. The read SNM for the SRAM cell using DDC transistors is 189 millivolts, and the SNM during write, an indicator of write margin, is 143 millivolts, as measured from the simulations results illustrated in FIG. 4. Therefore, the enhanced body coefficient of the DDC transistors results in an increase in the read SNM, and simultaneously results in an increased write margin, as indicated by a decrease in the SNM during a write. This provides an SRAM cell that has a higher cell stability during read operations (because of the higher read SNM), and at the same time, is easier to write to (because the lower SNM during a write results in a cell that is less stable for write operations).

FIG. 5 shows butterfly curves illustrating the increase in the read SNM resulting from the enhanced body coefficient of the NMOS DDC transistors for an SRAM cell. The voltage transfer curves 515 and 520 are obtained from SPICE simulations performed for an SRAM cell implemented with conventional transistors that have a low body coefficient. The voltage transfer curves 505 and 510 are obtained from SPICE simulations performed for an SRAM cell that uses conventional (low body coefficient) PMOS pull-up transistors, and DDC transistors with enhanced body coefficient for the NMOS pass-gate and pull-down transistors. In addition, the same body bias voltage is applied to the PMOS pull-up transistor for each of the voltage transfer curves 505-520. The butterfly curves shown in FIG. 5 are obtained from SPICE simulations performed for SRAM cells using 65 nm technology node DDC transistors and 65 nm technology node conventional transistors. These butterfly curves are only provided as an example. Similar butterfly curves can be obtained from SPICE simulations performed for SRAM cells using DDC transistors and conventional transistors that are fabricated using other technology nodes, e.g., 40 nm, 28 nm, etc.

In FIG. 5, the voltage transfer curves 505 and 510 together represent a butterfly curve that shows the voltage transfer characteristics of an SRAM cell implemented using DDC NMOS transistors. Similarly, the two voltage transfer curves 515 and 520 together represent a butterfly curve that shows the voltage transfer characteristics of an SRAM cell implemented using conventional (low body coefficient) pull-up transistors, and conventional (low body coefficient) NMOS pass-gate and pull-down transistors. The SRAM cells associated with the two butterfly curves in FIG. 5 differ with regard to the type of the NMOS transistors used in the cell, i.e., conventional (low body coefficient) vs. DDC (high body coefficient), but are otherwise identical in all respects, such as transistor sizes, NMOS transistor body bias voltages, and the power supply voltages applied by the column power supply. The butterfly curves in FIG. 5 are obtained for voltages applied during read operations, such that VDDCOL0 is 0.8 Volts, and VBPCOL0 is 0.8 Volts.

Referring to FIG. 5, the SNM for the SRAM cell using DDC transistors is the length of a side of a largest square that can be drawn between the two voltage transfer curves that are part of the read butterfly curve. Therefore, a larger opening between the two voltage transfer curves, i.e., a larger eye in the butterfly curve indicates increased read SNM and increased read stability of the SRAM cell. Regions 525 and 530 of the butterfly curve show that the stability of the SRAM cell using DDC NMOS transistors (having enhanced body coefficient) is higher than the stability of the SRAM cell using conventional (low body coefficient) NMOS transistors as a result of the enhanced body coefficient of the NMOS DDC transistors. The read SNM for the SRAM cell using NMOS DDC transistors is 142 millivolts, and the read SNM for the SRAM cell using conventional NMOS transistors is 111 millivolts, as measured from the simulations results illustrated in FIG. 5. Unlike the PMOS case, the body bias on the pass gate NMOS devices is provided naturally by the SRAM operation. As the read current flows through the series connection of the pass gate and pull down, the low stored voltage rises due to the voltage divider created by the series devices. Thus, node SN1 rises, producing body bias on transistor 225, which reduces the strength of 225, making the cell more stable in read. The improved body coefficient of the DDC transistor thus produces a negative feedback effect that increases as the cell goes unstable at low voltages, i.e., when node SN1 rises towards the cell flip point in a read.

The DDC transistors also exhibit a higher current drive as compared to conventional transistors, when a low voltage is being applied to the gate and the drain to source voltage is less than VGS-VT of the transistor, i.e., such that the transistor is operating in the linear mode. FIG. 6 shows the drain current as a function of the drain voltage for a DDC transistor, curve 605, and a conventional transistor, curve 610. As shown in FIG. 6, the DDC transistor drain current is 1.5-2 times the drain current of the conventional transistor when the transistor is operating in the linear mode and reduced VGS, which may occur due to the circuit operating at reduced VDD. The drain to source voltage on NMOS pull-down transistors of the SRAM cell is low during a read operation as it is obtained from the resistor divider ratio between the pull-down and the pass-gate transistors when the word line WL and the bit line BL are both at a high voltage level VDD. Therefore, these transistors operate in the linear region during a read operation. Typically, the drain to source voltage for the NMOS pull-down transistor can be approximately 0.1 Volts. The NMOS pass-gate transistor connected to the NMOS pull-down transistor is operating is in saturation during the signal generation portion of the read operation, and therefore, does not benefit from this enhanced current drive capability. However, the NMOS pass-gate transistor has an increased body bias voltage that results from the rise in the storage node voltage during the read operation. Therefore, the enhanced body coefficient of the DDC transistor results in a NMOS pass-gate transistor with reduced current drive capability. The combination of the enhanced drive capability of the pull down transistor, and the reduced drive capability of the pass-gate transistor result in an increased read SNM and increased cell stability. This is evident qualitatively by the better voltage divider ratio obtained by weakening the pass-gate and strengthening the pull-down NMOS transistors, respectively. The increase in the read SNM and cell stability can be determined from butterfly curves obtained from SPICE simulations of the SRAM cell using DDC transistors, as described in the discussion corresponding to FIG. 5.

As discussed above, DDC transistors having a screening region have enhanced threshold voltage matching, in addition to having an enhanced body coefficient. Therefore, SRAMs using DDC transistors have reduced threshold voltage variations between the transistors used in different cells of the SRAM, as well as between the transistors used within a particular SRAM cell. An SRAM cell using DDC transistors also has increased read SNM and cell stability as a result of the reduced threshold voltage variations. Reduction of threshold voltage variation between the pass-gate transistors and the pull-down transistors within an SRAM cell contributes in part to the increase in read SNM. In addition, reduction in the threshold voltage variations of PMOS transistors in SRAM cells also contributes to the increase in read SNM, as well as less variability in write margin, i.e., an increase in worst-case as fabricated write margin.

FIG. 7A shows butterfly curves illustrating the read SNM for an SRAM cell using conventional transistors in the presence of the threshold voltage variations that can normally occur when the integrated circuit is fabricated. The voltage transfer curve families 710 and 715 that together make up the butterfly curves in FIG. 7A are obtained from Monte Carlo simulations performed for an SRAM cell that uses conventional PMOS and NMOS transistors having a low body coefficient. The butterfly curves in FIG. 7A show the results obtained from 4000 Monte Carlo trials performed under read operating conditions, where the word line voltage VWL is at VDD, the bit line voltages BL0 and BLN0 are at VDD and the pull-up power supply voltage VDDCOL0 is at VDD. VDD is set to 1 Volt for these simulations. In addition, no body bias in applied to the transistors in the SRAM cell for these simulations. The resulting butterfly curves show the variations in SNM that can be caused by the threshold voltage variations of transistors in 4000 SRAM cells. The resulting SNM is the worst-case SNM obtained by determining the largest box that fits between the butterfly curves. The largest diagonal that fits within the eye of butterfly curves determines the largest box that can fit, represented by diagonal line 705, and the dimensions of the sides of the square corresponding to the largest diagonal is the SNM in Volts. The SNM for the SRAM cell using conventional transistors simulated in FIG. 7A, as measured from the Monte Carlo simulations, is 92 mV.

FIG. 7B shows butterfly curves illustrating the read SNM for a SRAM cell using DDC transistors in the presence of the threshold voltage variations that will occur in the DDC transistors during integrated circuit fabrication. The voltage transfer curve families 725 and 730 that together make up the butterfly curves in FIG. 7B are obtained from Monte Carlo simulations performed for an SRAM cell that uses DDC PMOS and NMOS transistors having an enhanced body coefficient. As described above the DDC transistors also have reduced threshold voltage variations. The butterfly curves in FIG. 7B show the results obtained from 4000 Monte Carlo trials performed under read operating conditions, where the word line voltage VWL is at VDD, the bit line voltage BL0 and BLN0 is at VDD, the pull-up power supply voltage VDDCOL0 is at VDD. VDD is set to 1 volt for these simulations. In addition, no body bias in applied to the DDC transistors in the SRAM cell for these simulations. The DDC transistors used for the simulations in FIG. 7B have a σVT that is half of the conventional transistors used for the simulation in FIG. 7A, i.e., the DDC transistors corresponding to the simulations in FIG. 7B have a threshold voltage variation that is half that of the conventional transistors corresponding to the simulations in FIG. 7A. This reflects the improved variability of the DDC transistors due the improved DDC structure and the order of fabrication steps. The resulting butterfly curves show the variations in SNM that can be caused by the threshold voltage variations of transistors in 4000 SRAM cells. The resulting SNM is determined by the largest box that fits between the eye of the butterfly curves, represented by diagonal line 720, and the dimensions of the sides of the square corresponding to the largest diagonal is the SNM in Volts. The SNM for the SRAM cell simulated in FIG. 7B, as measured from the Monte Carlo simulations, is 127 mV.

The Monte Carlo simulations of FIG. 7A and FIG. 7B show that the SNM of the SRAM cell using DDC transistors is higher than the SNM of the SRAM cell using conventional transistors as a result of the reduced threshold voltage variations. Therefore, the SRAM cell using DDC transistors has greater cell stability as a result of the reduced threshold voltage variations. The increased SNM and cell stability for the SRAM cell using DDC transistors can be measured from the butterfly curves obtained as a result of simulations performed for the SRAM cell.

FIG. 8A shows the write margin for an SRAM cell using conventional transistors in the presence of as-fabricated threshold voltage variations. The write margin is defined as the minimum potential on the bit line, e.g., bit line BL0, which is required to invert the state of the SRAM cell when the bit line is driven slowly or swept down from VDD, i.e., the precharge voltage applied to the SRAM bit line, to VSS. Therefore, a higher write margin indicates that the SRAM cell is easier to write to because the state of the SRAM cell is inverted at a higher bit line voltage. The voltage response curves 805 shown in FIG. 8A are obtained from Monte Carlo simulations performed for an SRAM cell that uses conventional PMOS and NMOS transistors having conventional threshold voltage variability. The voltage response curves show the state of a storage node in the SRAM cell as the bit line voltage is swept from VDD to VSS. The voltage response curves in FIG. 8A show the results obtained from 4000 Monte Carlo trials performed under write operating conditions, where the word line voltage VWL is at VDD, the bit line voltage BLN0 is at VDD, the bit line voltage BL0 is slowly reduced to 0 volts, the pull-up power supply voltage VDDCOL0 is at VDD. VDD is set to 1 volt for these simulations. In addition, no body bias in applied to the transistors in the SRAM cell for these simulations. The resulting voltage response curves show the variations in write margin that can be caused by the threshold voltage variations of transistors in 4000 SRAM cells, by monitoring the cell storage node. The resulting write margin is the worst-case write margin obtained in the presence of the threshold voltage variations. The write margin for the SRAM cell using conventional transistors, as measured from the Monte Carlo simulations, is 52 mV.

FIG. 8B shows the write margin for an SRAM cell using DDC transistors in the presence of threshold voltage variations. The voltage response curves 810 shown in FIG. 8B are obtained from Monte Carlo simulations performed for an SRAM cell that uses DDC PMOS and NMOS transistors having an enhanced body coefficient. As described above the DDC transistors have reduced threshold voltage variations. The voltage response curves in FIG. 8B show the results obtained from 4000 Monte Carlo trials performed under write operating conditions, where the word line voltage VWL is at VDD, the bit line voltage BLN0 is at VDD, the bit line voltage BL0 is slowly reduced to 0 volts, and the pull-up power supply voltage VDDCOL0 is at VDD. VDD is set to 1 volt for these simulations. In addition, no body bias in applied to the DDC transistors in the SRAM cell for these simulations. The DDC transistors used for the simulations in FIG. 8B have a σVT that is half of the conventional transistors used for the simulation in FIG. 8A, i.e., the DDC transistors corresponding to the simulations in FIG. 8B have a threshold voltage variation that is half that of the conventional transistors corresponding to the simulations in FIG. 8A. The resulting voltage response curves show the variations in write margin that can result from the threshold voltage variations of transistors in 4000 SRAM cells. The resulting write margin is the worst-case write margin obtained in the presence of the threshold voltage variations. The write margin for the SRAM cell using DDC transistors, as measured from the Monte Carlo simulations, is 190 mV.

Therefore, the Monte Carlo simulations of FIG. 8A and FIG. 8B show that the write margin of the SRAM cell using DDC transistors is greater than the write margin of the SRAM cell using conventional transistors as a result of the reduced threshold voltage variations. The increased write margin for the SRAM cell using DDC transistors can be measured from the voltage response curves obtained as a result of simulations performed for the SRAM cell. Note that the higher write margin is obtained simultaneously with the higher read SNM shown above, without body bias changes. As shown previously, both read SNM and write margin can be further improved by appropriate manipulation of the body biases during read and write operations.

FIG. 9A shows simulation results for determining the read speed for an SRAM cell using conventional transistors in the presence of threshold voltage variations. The read speed can be primarily due to the bit line slew rate as a bit line voltage transition is triggered by a rising edge of the word line voltage. FIG. 9A shows the results obtained from Monte Carlo simulations using 4000 trials performed under read operating conditions, where the word line voltage VWL is VDD, the bit lines are initially precharged to VDD, and the pull-up power supply voltage is VDD. In addition, VDD is set to 1 Volt, and no body bias is applied to the transistors in the SRAM cell for these simulations. The simulation results show the bit line transition, represented by the group of falling lines 905, which are triggered by the rising edge of the voltage on the word line. The group of rising lines 910 represents the output of an inverter sense amplifier whose input is driven by the falling bit line. The resulting read speed is the worst case read speed obtained in the presence of the threshold voltage variations. The worst-case read speed for the SRAM cell using conventional transistors, as measured from the Monte Carlo simulations, is 530 picoseconds. The worst-case timing sets the speed of the integrated circuit, since it is directly impacted by the SRAM access time.

FIG. 9B shows simulation results for determining the read speed for an SRAM cell using DDC transistors in the presence of threshold voltage variations. FIG. 9B shows the results obtained from Monte Carlo simulations using 4000 trials performed under read operating conditions, where the word line voltage VWL is VDD, the bit line BL0 is initially precharged to VDD, and the pull-up power supply voltage is VDD. In addition, VDD is set to 1 Volt, and no body bias is applied to the transistors in the SRAM cell for these simulations. The simulation results show the bit line transition, represented by the group of falling lines 915, which are triggered by the rising edge of the voltage on the word line. The group of rising lines 920 represents the output of an inverter sense amplifier whose input is driven by the falling bit line. The simulations show that the variation in the bit line transition is significantly reduced as a result of the reduced transistor mismatch of the DDC transistors. In particular, the worst case curve is much closer to the median, which can result in a much faster worst case speed. The read speed of the SRAM cell using DDC transistors is 374 picoseconds.

The Monte Carlo simulations of FIG. 9A and FIG. 9B how that the read speed of the SRAM circuit using cells that in turn use DDC transistors is 42% faster than that of the SRAM circuit using cells that are comprised of conventional transistors as a result of the reduced threshold voltage variation. The increased read speed for the SRAM cell can be measured from the simulations performed for the SRAM cell. Thus, the SRAM cell comprised of DDC transistors has enhanced read stability, write margin, and read speed as compared to the SRAM using cells that are produced with conventional transistors.

The simulation results illustrated in FIGS. 7A, 8A, and 9A are obtained from simulations performed for SRAM cells using 28 nm technology node conventional transistors. It is noted that these simulations results are provided as an example of simulations that can be performed for SRAM cells using conventional transistors fabricated using other technology nodes. For example, similar simulation results can be obtained for simulations performed for SRAM cells using conventional transistors fabricated using other technology nodes, e.g. 65 nm, or 40 nm, etc. Similarly, the simulation results provided in FIGS. 7B, 8B, and 9B are obtained from simulations performed for SRAM cells using 28 nm technology node DDC transistors. It is noted that these simulations results are provided as an example of simulations that can be performed for SRAM cells using DDC transistors fabricated using other technology nodes. For example, similar simulation results can be obtained for simulations performed for SRAM cells using DDC transistors fabricated using other technology nodes, e.g. 65 nm, or 40 nm, etc.

Worst-case as-fabricated read SNM and write margin are typically ensured by choice of the transistor dimensions. For example, the pull-up transistors are generally as small as can be reliably fabricated; the pass-gate transistors are typically narrower and longer than the pull-down transistors to provide the necessary voltage divider ratio for the required read SNM; this in turn necessitates that the pull downs must be wide in comparison with the others. However, it is easier to manufacture transistors that are close in size—ideally all identical in size, particularly in channel length. Thus, the enhanced stability and margins provided by the DDC transistors, as well as the enhanced body coefficient and enhanced threshold voltage variation, can be used to allow design and fabrication of more lithography “friendly” SRAM cells, having substantially less, or no differences in the SRAM cell constituent transistor geometries, while still being stable and write-able. In one embodiment, SRAM cells using DDC transistors can have a cell size that is smaller compared to a cell size of a SRAM cell using conventional transistors having comparable cell stability and write margin. In alternative embodiments, SRAM cells using DDC transistors can have substantially less or no differences in the SRAM constituent transistor geometries (such as drawn transistor length and/or drawn transistor width), and therefore, can be easier to design and fabricate for lithography rules that require all transistors to be drawn to a substantially fixed pitch. The retention voltage can primarily be a function of the PMOS pull-up to NMOS pull-down ratio at reduced VDD (e.g., VDD=retention mode VDD=0.4V). The improved matching of the DDC transistor provides a lower VDD in retain mode without upsetting the cells due to mismatch in the constituent inverters.

FIG. 15 illustrates a layout 1500 of a SRAM cell using DDC transistors that has substantially no jogs or notches in the diffusion area for the DDC NMOS transistors, in accordance with one embodiment. In addition, the layout 1500 has substantially no jogs or notches in the gate layer of the DDC NMOS pull-down transistor and the DDC PMOS pull-up transistor. In layout 1500, the length of the DDC NMOS pull-down transistor is substantially the same as the length of the DDC PMOS pull-up transistor, and the width of the DDC NMOS pull-down transistor is substantially the same the width of the DDC NMOS pass-gate transistor. In one embodiment, the threshold voltage of the DDC NMOS and DDC PMOS transistors in the SRAM cell are selected to have substantially the same value as the corresponding DDC NMOS and DDC PMOS transistors used in logic gates in the same integrated circuit device. In an alternative embodiment, the threshold voltages VTN and VTP for the DDC transistors used in the SRAM cell are optimized to provide predetermined performance characteristics for the SRAM cell, such as, read SNM, write margin, cell leakage current, bit line speed (as measured by slew rate) or read current, and data retention voltage. The process for forming the SRAM cell can determine process parameters for fabricating the SRAM cell corresponding to the layout 1500, which has the selected VTN and VTP. Such process parameters can include the thickness of the blanket epitaxial layer, the position of the screening region, the position of the threshold voltage tuning region, and/or the dopant concentration of the threshold voltage tuning region. Substantially eliminating the jogs or notches in the SRAM cell layout can reduce geometric sources of mismatch between the transistors of the SRAM cell that arise from variation in alignment and additional lithographic effects such as corner rounding. Therefore, reducing these sources of mismatch can provide a SRAM cell with enhanced performance characteristics,

The predetermined cell stability resulting from the enhanced body coefficient for the DDC transistor can be obtained by using a circuit simulation program, such as the BERKELEY-SPICE simulation program, the H-SPICE simulation program, the P-SPICE simulation program, or any other circuit simulation program with similar capabilities using transistor parameters and variations in those parameters that appropriately reflect the as-manufactured transistor variability. The SPICE simulations discussed above with reference to FIGS. 2-5, are examples of how a predetermined cell stability can be obtained from SPICE simulations of the SRAM cell implemented using DDC transistors. In addition, the predetermined cell stability, write margin, and bit line speed in the presence of threshold voltage variations can be obtained from Monte Carlo simulations performed on the SRAM cell. The Monte Carlo simulations discussed above with reference to FIGS. 7A and 7B provide examples for obtaining a predetermined cell stability in the presence of threshold voltage variations. The Monte Carlo simulations discussed above with reference to FIGS. 8A and 8B provide examples for obtaining a predetermined write margin in the presence of threshold voltage variations. The Monte Carlo simulations discussed above with reference to FIGS. 9A and 9B provide examples for obtaining a predetermined bit line speed in the presence of threshold voltage variations.

Referring to FIG. 10, an integrated circuit according to an alternative embodiment is shown in a block diagram and designated by the general reference number 1000. Integrated circuit 1000 is a SRAM device that may include a number of SRAM cells, including SRAM cells arranged in multiple rows and columns. For ease of discussion, only two SRAM cells 1005 and 1010 are illustrated along with the associated power supplies 1015 and 1020 that generate the applied power supply voltages for the SRAM cells. The SRAM cells 1005 and 1010 are implemented using DDC transistors.

In FIG. 10, the SRAM device 1000 can include different DDC PMOS source bias lines 1025-0/1 coupled to the source terminals of the DDC PMOS transistors of the SRAM cells 1005 and 1010, respectively, as illustrated in the figure. Similarly the SRAM device can include different DDC NMOS source bias lines 1030-0/1 coupled to the source terminals of the DDC NMOS transistors of the SRAM cells 1005 and 1010. In addition, the SRAM device 1000 can include different DDC PMOS body bias lines 1035-0/1 and different DDC NMOS body bias lines 1040-0/1 that are coupled to provide body bias voltages to the screening regions of the DDC PMOS and DDC NMOS transistors of the SRAM cells 1005 and 1010, respectively, as illustrated in FIG. 10.

In FIG. 10, each of the power supply blocks (e.g., power supply blocks 1015 and 1020) can couple the source bias lines 1025-0/1 and 1030-0/1, and the body bias lines 1035-0/1 and 1040-0/1 to one or more bias voltages, to thereby place the SRAM cells 1005 and 1010 into different modes of operation. In particular, the DDC PMOS source bias lines 1025-0/1 can be coupled to PMOS source bias voltage VSPbias1 or VSPbias2 as determined by the value of the PMOS source bias control signal VSPSEL. Similarly, the DDC NMOS source bias lines 1030-0/1 can be coupled to NMOS source bias voltage VSNbias1 or VSNbias2 as determined by the value of the NMOS source bias control signal VSNSEL. In addition, the DDC PMOS body bias lines 1035-0/1 can be coupled to PMOS body bias voltage VBPbias1 or VBPbias2 as determined by the value of the PMOS body bias select signal VBPSEL. Further, the DDC NMOS body bias lines 1040-0/1 can be coupled to NMOS body bias voltage VBNbias1 or VBNbias2 as determined by the value of the NMOS body bias select signal VBNSEL.

As illustrated in FIG. 10, embodiments of the SRAM device 1000, can include bias voltage sources and bias voltage networks operable to apply one or more source bias voltages and one or more body bias voltages to the DDC NMOS and DDC PMOS transistors in the SRAM cells. Table I illustrates the various combinations of source bias voltages and body bias voltages that can be applied in various embodiments of the SRAM device 1000.

TABLE I
Apply more than Apply more than
one PMOS one NMOS Apply more than Apply more than
source bias source bias one PMOS body one NMOS body
Embodiment voltage voltage bias voltage bias voltage
1 Y Y Y Y
2 Y Y Y N
3 Y Y N Y
4 Y Y N N
5 Y N Y Y
6 Y N Y N
7 Y N N Y
8 Y N N N
9 N Y Y Y
10 N Y Y N
11 N Y N Y
12 N Y N N
13 N N Y Y
14 N N Y N
15 N N N Y
16 N N N N

Various SRAM memory embodiments described above have illustrated dynamic source biasing networks, which apply different source bias voltages to the source terminals of the DDC NMOS and DDC PMOS transistors in the SRAM cells. One example of a source bias voltage switching operation is shown in a timing diagram 1100 of FIG. 11A. The timing diagram 1100 can apply to source switching circuits included in the PMOS source power supply blocks 1045-0/1, in accordance with one embodiment.

FIG. 11A includes waveforms 1105, 1110, and 1115 corresponding to the PMOS source bias control signal VSPSEL, a source potential applied to a PMOS dynamic source bias network WSS, and a word line coupled to an accessed SRAM cell. The PMOS source bias lines 1025-0/1 can be part of one or more PMOS dynamic source bias networks in the SRAM device. For example, in embodiments that sub-divide the SRAM into several groups of SRAM cells, the source bias line 1025-0 can be part of the PMOS dynamic source bias network of one group, and the source bias line 1025-1 can be part of the PMOS dynamic source bias network of a different group.

With reference to FIG. 11A, prior to time t0, VSPSEL and WL can both be inactive (which corresponds to a low voltage level in this example). Consequently, the dynamic source bias network can be coupled to the source bias voltage VSPbias1 and WSS can be at a first PMOS source bias voltage (VSPbias1), placing the SRAM cell in a first mode. At about time t0, VSPSEL can transition to an active level (which corresponds to a high voltage level in this example). As a result, the dynamic source bias network can be coupled to the source bias voltage VSPbias2 and WSS be at the second PMOS source bias voltage (VSPbias2), placing the SRAM cell in a second mode. In the embodiment shown, the voltage VSPbias1 is less than the voltage VSPbias2 (e.g., VSPbias1 can be the high voltage level VDD, and VSPbias2 can be a voltage that is higher than VDD).

Referring again to FIG. 11A, at about time t1, after WSS has been switched to the bias voltage VSPbias2, the word line WL can transition to an active level (which corresponds to a high voltage level in this example). As a result, a row of memory cells coupled to the word line WL can be accessed for either read or write operation. At about time t2, WL can return to an inactive level (which corresponds to a low voltage level in this example), and the row of memory cells coupled to WL are no longer accessed for read or write operations. At about time t3, after WL has returned to an inactive level, the PMOS source bias signal VSPSEL can return to an inactive level (i.e., a low voltage level). As a result the PMOS source bias network can be coupled to the source bias voltage VSPbias1 and WSS can be at the first PMOS source bias voltage (VSPbias1). In the embodiment shown, the WSS transition from VSPbias2 to VSPbias1 (which starts at about time t3) is slower than the WSS transition from VSPbias1 to VSPbias2 (which starts at about time t0). In addition, the voltage at WSS transitions to the voltage level VSPbias2 within the time interval starting at t0 and ending at t1, before the word line WL transitions to the active level.

FIG. 11B shows a timing diagram 1150 that includes waveforms 1155, 1160, and 1165 corresponding to the NMOS source bias control signal VSNSEL, a source potential applied to a NMOS source bias network WSS, and a word line coupled to an accessed SRAM cell WL. The NMOS source bias lines 1030-0/1 can be part of one or more NMOS dynamic source bias networks in the SRAM device, e.g., they can be part of the NMOS dynamic source bias networks of different groups of SRAM cell in embodiments that sub-divide the SRAM into multiple groups of SRAM cells. The transitions of these waveforms at times t0, t1, t2, and t3 are similar to the corresponding transitions described with reference to FIG. 11A, except for the fact that the voltage level VSNbias1 is higher than the voltage level VSNbias2. In the embodiment shown in FIG. 11B, the WSS transition from VSNbias2 to VSNbias1 (which starts at about time t3) is slower than the WSS transition from VSNbias1 to VSNbias2 (which starts at about time t0). In addition, the voltage at WSS transitions to the voltage level VSNbias2 within the time interval starting at t0 and ending at t1, before the word line WL transitions to the active level.

Various SRAM memory embodiments described above have illustrated dynamic source biasing networks used in conjunction with dynamic body biasing networks, where the dynamic body biasing networks apply different body bias voltages to the screen regions of the DDC NMOS and DDC PMOS transistors in the SRAM cells. One example of a body bias voltage switching operation is shown in a timing diagram 1200 of FIG. 12A. The timing diagram 1200 can apply to source switching circuits included in the PMOS body bias power supply blocks 1055-0/1, in accordance with one embodiment.

FIG. 12A includes waveforms 1205, 1210, and 1225 corresponding to the PMOS source bias control signal VSPSEL, a source potential applied to a PMOS dynamic source bias network WSS, and a word line WL coupled to an accessed SRAM cell. In addition, the waveforms 1215 and 1220, corresponding to PMOS body bias control signal VBPSEL and a body bias voltage VBP applied to a PMOS dynamic body bias network. The PMOS source bias lines 1025-0/1 can be part of one or more PMOS dynamic source bias networks in the SRAM device. Similarly, the PMOS body bias lines 1035-0/1 can be part of one or more PMOS dynamic body bias networks in the SRAM device. For example, in embodiments that sub-divide the SRAM into several groups of SRAM cells, the source bias line 1025-0 can be part of the PMOS dynamic source bias network of one group, and the source bias line 1025-1 can be part of the PMOS dynamic source bias network of a different group. Similarly, the body bias line 1035-0 can be part of the PMOS dynamic body bias network of one group, and the body bias line 1035-1 can be part of the PMOS dynamic body bias network of another group.

With reference to FIG. 12A, the waveforms for VSPSEL, WSS, and WL are similar to the corresponding waveforms for these signals shown in FIG. 11A. In the embodiment shown in FIG. 12A, the operation of these waveforms and the timing relationships between these waveforms is similar to the description of these signals provided above with reference to FIG. 11A.

FIG. 12A also includes a waveform for the PMOS body bias control signal VBPSEL, and a waveform for the PMOS body bias voltage VBP. Prior to time ta, VBPSEL and WL can both be inactive (corresponding to a low voltage level in this example). Consequently, the PMOS dynamic body bias network can be coupled to the PMOS body bias voltage VBPbias1, and VBP can be at a first voltage VBPbias1, placing the SRAM cell in a third mode of operation. At about time ta, a predetermined duration of time prior to VSPSEL transitioning to the active level, VBPSEL can transition to an active level (a high voltage level in the illustrated embodiment). As a result, the PMOS dynamic body bias network can be coupled to the body bias voltage VBPbias2 and VBP can be at the second voltage VBPbias2, placing the SRAM cell in a fourth mode. In the embodiment shown, the voltage VBPbias1 is greater than the voltage VBPbias2, and the SRAM cell can have lower leakage and/or lower performance in the third mode in comparison to the fourth mode.

Referring to FIG. 12A, at about time t3, a predetermined duration of time after WL has returned to the inactive level, VBPSEL can transition to the inactive level. As a result the PMOS dynamic body bias network can be coupled to the body bias voltage VBPbias1 and VBP can be at the first PMOS source bias voltage (VBPbias1). In the embodiment shown, the VBP transition from VBPbias1 to VBPbias2 (which starts at about time ta) is faster than the VBP transition from VBPbias2 to VBPbias1 (which starts at about time t3). However, in alternative embodiments the VBP transition at time ta can be at a rate that is approximately the same or slower than the VBP transition at time t3. In addition, the voltage at VBP transitions to the voltage level VBPbias2 before VSPSEL transitions to the active level, and also before WL transitions to the active level.

FIG. 12B shows a timing diagram 1250 that includes waveforms 1255, 1260, and 1275 corresponding to the NMOS source bias control signal VSNSEL, a source potential applied to a NMOS dynamic source bias network WSS, and a word line WL coupled to an accessed SRAM cell. In addition, the waveforms 1265 and 1270, corresponding to NMOS body bias control signal VBNSEL and a body bias voltage applied to a NMOS dynamic body bias network VBN. The NMOS source bias lines 1030-0/1 can be part of one or more NMOS dynamic source bias networks in the SRAM device. Similarly, the NMOS body bias lines 1040-0/1 can be part of one or more NMOS dynamic body bias networks in the SRAM device. For example, 1030-0 and 1030-1 (and similarly 1040-0 and 1040-1) can be part of the bias networks of different groups of SRAM cell in embodiments that sub-divide the SRAM into multiple groups of SRAM cells. The transitions of these waveforms at times ta, t0, t1, t2, and t3 are similar to the corresponding transitions described with reference to FIG. 12A, except for the fact that the voltage level VBNbias1 is higher than the voltage level VBNbias2. In the embodiment shown, the VBN transition from VBNbias1 to VBNbias2 (which starts at about time ta) is faster than the VBN transition from VBNbias2 to VBNbias1 (which starts at about time t3). However, in alternative embodiments the VBN transition at time ta can be at a rate that is approximately the same or slower than the VBN transition at time t3. In addition, the voltage at VBN transitions to the voltage level VBNbias2 before VSNSEL transitions to the active level, and also before WL transitions to the active level.

In embodiments shown above, groups of memory cells may switch between modes by controlling source switching circuits and/or body bias switch circuits. In some embodiments, such circuits may be controlled in response to a decoded address. Further, a decoding path for activating source switching or body bias switching may be faster than decode paths for accessing memory cells (such as decode paths to word lines). A particular embodiment showing such a decoding arrangement is shown in FIG. 13.

Referring now to FIG. 13, a decoding circuit according to an embodiment is shown in block schematic diagram and designated by the general reference character 1300. A decoding circuit 1300 may include a pre-decode section 1364 and a standard decode section 1366. Decoding circuit 1300 may also include a “fast” source decode section 1368 and/or a “fast” body bias decode section 1370. It is understood that in embodiments having only dynamic source switching, a body bias decode section 1370 may not be included. Similarly, in embodiments having only dynamic body bias switching, a source decode section 1368 may not be included.

A pre-decode section 1364 may receive address values (ADD), and in response, activate pre-decode signals PRED. In the embodiment shown, pre-decode signals PRED may be applied to standard decode section 1366, applied to “fast” source decode section 1368 (if included), and applied to “fast” body bias decode section 1370 (if included).

Standard decode section 1366 may include local decoders 1372 that activate particular word line select signals (WL_SEL) according to pre-decode signals PRED. In response to word lines select signals WL_SEL, a word line may be activated by a word line driver circuit 1374.

Source decode section 1368 may receive pre-decode signals PRED and provide source select signals (SSELs). Source decode section 1368 may activate one or more source select signals (SSELs), but not all such source select signals according to pre-decode signals PRED. A source decode section 1368 may provide a faster decode operation than standard decode section 1366, activating a source select signal(s) before a word line is activated. It is noted that source decode section 1368 may receive different pre-decode signals than standard decode section 1366, or a subset of the pre-decode signals received by standard decode section 1366. When activated, each source select signal (SSELs) may activate a corresponding one of source switches 1316, for NMOS and/or PMOS devices.

In a similar fashion, body bias decode section 1370 may receive pre-decode signals PRED and provide body bias select signals (BSELs). Body bias decode section 1370 may activate one or more body bias select signals (BSELs), but not all such source select signals, according to pre-decode signals PRED. As in the case of the source decode section 1368, body bias decode section may provide a faster decode operation than standard decode section 1366. Body bias decode section 1370 may also receive different pre-decode signals than standard decode section 1366. When activated, each body bias select signal (SSELs) may activate a corresponding one of body bias switches 1358 for NMOS and/or PMOS devices.

In this way, a memory device may include a source select decode path and/or body bias decode path that is faster than a standard decode path for a word line, or the like.

While selection signals for applying source and/or bias voltages may be generated in various ways, in particular embodiments group select signals may be utilized to generate source bias and/or body bias select signals. A particular embodiment showing such a selection arrangement is shown in FIG. 14.

Referring now to FIG. 14, a memory device according to one embodiment is shown in block schematic diagram and designated by the general reference character 1400. A memory device 1400 may include a memory cell group 1402, a pre-decode section 1464, word line decoder 1474, select circuits 1476, and group select decoders 1478.

The pre-decode section may receive address values (ADD), and in response, activate pre-decode signals PRED that are coupled to the word line decoder 1474 and the group select decoder 1478. The word line decoder 1474 can include decode logic that activates particular word lines according to the pre-decode signals PRED.

The memory cell group 1402 may include memory cells arranged into multiple rows, each row being accessed by activation of a corresponding word line. In addition, memory cells of memory cell group 1402 may each have a source connection and/or a body connection to enable the memory cells to be dynamically biased.

The group select decoder 1478 can receive the predecode signal PRED, and in response, generate group select signals that select one or more cell groups in the memory device 1400. In one embodiment, group select decoder 1478 can receive different pre-decode signals than the word line decoder 1474, or it can receive a subset of the predecode signals received by the word line decoder 1474. The group select decoder 1478 can provide a faster decode than the word line decoder 1474, thereby activating the group select signals GSEL a predetermined duration of time before a word line is activated.

Select circuits 1476 may apply different bias voltages to memory cells of cell group 1402 in response to the group select signals GSEL. In some embodiments, select circuits 1476 may include source switch circuits, body bias switch circuits, or combinations thereof as shown in other embodiments, or equivalents. In one, the select circuits 1476 can apply different power supply and/or body bias voltages for each column of the group depending on whether at least one SRAM cell in the column of the group is being accessed for a read operation (read mode), or at least one SRAM cell in the column of the group is being accessed for a write operation (write mode), or none of the SRAM cells in the group are being accessed for either read or write operation (standby mode). For example, the select circuits 1476 can apply one value of body bias voltage for a column of the group that is being accessed for a write operation (i.e., at least one SRAM cell in the column is being accessed for a write operation), and a different value of body bias voltage for other columns in the group that not being accessed for a write operation. Similarly, the select circuits 1476 can apply one value of power supply voltage for a column of the group that is being accessed for a read operation, and a different value of body bias voltage for other columns in the group that are not being accessed for a read operation. The values of the body bias voltage and the power supply voltage for each column of the group can be selected independently of each other.

The group select signals (GSEL) can have a smaller granularity than a cell group. For example, the group select signals can select one or more columns within the cell group in embodiments where the select circuits 1476 apply different body bias voltages and different power supply voltages to the columns within the cell group.

Together the structures and methods of making the structures described above allow for DDC transistors having an enhanced body coefficient as compared to conventional nanoscale devices. Thus, the response of the DDC transistor can vary within a wider range to a change in the body bias voltage applied to the screening region. More specifically, the enhanced body coefficient of the DDC transistor can allow a broad range of ON-current and the OFF-current that depends on the body bias voltage applied to the screening region, as compared to the body bias voltage applied to a conventional device. In addition, the DDC transistors have a lower σVT than conventional devices. The lower σVT provides a lower minimum operating voltage VDD and a wider range of available nominal values of VT. The enhanced body coefficient of the DDC transistor can also allow a broad range of threshold voltage that depends on the body bias voltage applied to the screening region, as compared to the body bias voltage applied to a conventional device. The screening region allows effective body biasing for enhanced control of the operating conditions of a device or a group of devices to be set by controlling the applied body bias voltage. In addition, different operating conditions can be set for devices or groups of devices as result of applying different body bias voltages.

As discussed with reference to the various embodiments above, the performance of an SRAM cell using DDC transistors can be modified by applying different bias voltages to the screening regions of the DDC NMOS transistors, and/or the DDC PMOS transistors of the SRAM cell. Therefore, SRAM cells using DDC transistors can be advantageously used in System-on-Chip (SOC) devices that include a number of different SRAMs with different performance targets. If SRAM cells using conventional transistors are used in such SOC devices, then SRAMs using different performance targets can be obtained by using additional threshold voltage set masks during fabrication to set different threshold voltages for the transistors used in the SRAM cell (typically done when the same SRAM cell design is used to obtain the different performance targets), or by using different SRAM cell designs that use differently sized transistors to obtain the different performance targets, or by using a combination of these two approaches. However, multiple SRAMs with different performance characteristics can be obtained using the same SRAM cell when it is implemented using DDC transistors, because the performance of the different SRAMs can be adjusted by applying different screening region bias voltages to the DDC transistors of the SRAM cells. Thus, a predetermined screening region bias voltage can be applied to the DDC transistors of the SRAM cell obtain a specified target performance, without using additional threshold voltage set masks and the additional process steps for setting different threshold voltages. In one embodiment, a deep N-well can be used to isolate each SRAM array from other SRAM arrays so that different body bias voltages can be applied to each SRAM array. In another embodiment, the threshold voltage of the base SRAM (i.e., the SRAM cell that does not use screening region bias voltages) is set at a higher value, and only forward bias voltages are generated for the screening region bias voltages to obtain the SRAM having different performance targets. In alternative embodiments both forward and reverse body bias voltages can be used as the screening region bias voltages.

Embodiments of the integrated circuit devices described herein can include devices that use both DDC transistors and legacy transistors. A process flow for forming such embodiments can include the process flow described with reference to FIG. 1B above, where some implants can be selectively masked over certain devices (e.g. the non-DDC transistors) that do not need the implants associated with DDC transistors. Such integrated circuit embodiments can also include hybrid SRAM cells that use both DDC transistors and legacy transistors. For example, a hybrid SRAM cell can use pair of legacy PMOS transistors as pull-up transistors, a pair of DDC NMOS transistors as pull-down transistors, and a pair of DDC pass gate transistors as pass-gate transistors.

The hybrid SRAM cell embodiments can have enhanced performance characteristics because of the DDC NMOS transistor characteristics. The DDC NMOS transistors can exhibit a higher current drive as compared to conventional MOSFETs, when a low voltage is being applied to the gate and the drain to source voltage is less than VGS-VT of the transistor, i.e., such that the transistor is operating in the linear region. The drain to source voltage on DDC NMOS pull-down transistors of the hybrid SRAM cell is diminished during a read operation, e.g., at full VDD=1.0 V, this voltage VCN can be lower than 0.2 V in certain embodiments. This voltage can be lower than 0.1 volts in certain alternative embodiments or at reduced VDD operation. Therefore, the higher current drive of the DDC NMOS transistors contributes to an enhanced Read SNM. In addition, during read operations, the DDC NMOS pass gate transistor of the hybrid SRAM cell has an increased body bias voltage that results from the rise in the storage node voltage during the read operation. Therefore, the enhanced body coefficient of the DDC NMOS transistor results in a DDC NMOS pass gate transistor with reduced current drive capability. The combination of the enhanced drive capability of the pull down transistor, and the reduced drive capability of the pass gate transistor results in an increased read SNM and increased cell stability. This is evident qualitatively from the better voltage divider ratio obtained by weakening the pass gate DDC NMOS transistor and strengthening the pull down DDC NMOS transistor, respectively, since the current drive is not reduced on a write, when the bit line BL is driven to or near VSS to write the cell. Thus, the hybrid SRAM cells using DDC NMOS pass-gate and DDC NMOS pull-down transistors can provide increased read SNM, and therefore, a lower read failure rate. In addition, since the DDC NMOS transistors have a lower variability of threshold voltage, i.e., lower σVT and lower AVT, the hybrid SRAM cells can have a lower VDDmin than a conventional SRAM cell that uses similarly sized conventional NMOS and PMOS transistors. In one embodiment, the hybrid SRAM cells can have a VDDmin of approximately 0.5 volts. In alternative embodiments, the hybrid SRAM cells can have a VDDmin approximately within a range of 0.35 volts to 0.5 volts.

It is noted from the description above that the DDC transistors provides an SRAM cell having an enhanced read SNM and an enhanced write margin (as shown by reduced write SNM), such that the SRAM cell has enhanced stability during read operations, and simultaneously has reduced stability during write operations that may optionally be manipulated by the designer by modulating the power supply and body bias voltages. The DDC transistors also provide an SRAM cell that has an enhanced read SNM as a result of the enhanced body coefficient and reduced threshold voltage variations, and a higher write margin as a result of the reduced threshold voltage variations.

It is understood that memory devices as shown herein, and equivalents may form an embedded memory in a larger integrated circuit and/or a standalone memory device. It is also understood that even though the foregoing discussion of exemplary embodiments has referred to SRAM cell using six transistors, it is also applicable to other SRAM cell designs. For example the foregoing discussion is also applicable to multi-ported SRAM cells having more than two pass-gate transistors. It is also applicable to SRAM cell designs that employ more than six transistors.

Still further, while the various embodiments have shown transistors arranged into memory cells, other embodiments may include different circuit types with transistors having sources and/or bodies dynamically biased as described herein. As but one example, alternate embodiments may include groups of logic cells formed with transistors in place of, or in addition to, memory cells, where such logic cell groups may be separately operated in two or more different modes with dynamic source and/or body biasing. Such logic cells may be connected to one another with metallization layers, a portion of which may form a source bias network and/or connections to drive well taps.

As another embodiment, custom logic may be designed having a source bias network and/or body bias connections as described herein. Such custom logic may be broken into sections that are dynamically biased.

In still another embodiment, a programmable logic device may have programmable logic circuit groups with transistors having dynamic source and/or body biasing as described herein. In a very particular programmable logic embodiment, such dynamically biased programmable logic transistors may be formed in conjunction with memory cells as described herein, with memory cells storing configuration data for establishing the operation of the programmable logic transistors.

Digital circuits according to the embodiments shown herein, and equivalents, may provide improved performance over conventional circuits by operating with transistors (e.g. DDC transistors) having lower threshold voltage variability. Possible improvements may include faster signal propagation times, as noted above. In addition, such improved performance can be obtained either with the application of a body bias voltage, or without the application of a body bias voltage.

Improved performance can translate into reductions in device size. For example, digital circuit transistors can be sized with respect to one another to achieve a particular response. Such sizing can take into account the enhanced body coefficient, and the enhanced threshold voltage variation of the DDC transistor. Because DDC transistors have lower threshold voltage variation, a lesser sizing margin can achieve a desired response with a smaller cell than would be required with conventional devices. As but one very particular example, SRAM cells can have a predetermined sizing between pass-gate transistors and pull-up transistors. SRAM cells using DDC transistors, in accordance with the embodiments described above can lower a relative sizing between these transistors relative to comparable SRAM cell using conventional transistors. As SRAM cells can be repeated thousands, or even millions of times in an integrated circuit, such reductions in size can extend the expected limits of size and/or performance presented by SRAM cells using conventional transistors.

In addition, such improvements may include lower operating voltages. It is noted that even though certain values of voltages have been provided in the context of the embodiments discussed above, alternate embodiments can use values of voltages that are different from the ones disclosed above. For example, in certain embodiments SRAM cells using DDC transistors can use power supply voltages that are lower than 1 Volt, e.g., 0.5 Volts. Since the DDC NMOS and DDC PMOS transistors have a lower variability of threshold voltage, i.e., lower σVT and lower AVT, the SRAM cells using DDC transistors can have a lower VDDmin than a conventional SRAM cell that uses similarly sized conventional NMOS and PMOS transistors. In one embodiment, the SRAM cells using DDC transistors can have a VDDmin of approximately 0.5 volts. In alternative embodiments, the SRAM cells using DDC transistors can have a VDDmin approximately within a range of 0.35 volts to 0.5 volts.

Various methods in accordance with the embodiments described above can be used to generate an optimized migrated SRAM cell based on a source SRAM cell, where the migrated SRAM cell uses DDC transistors and the source SRAM cell uses conventional MOSFETs, e.g., MOSFETs having dopants in the channel and/or halo implants. Such methods can be used to generate an optimized migrated SRAM cell based on the source SRAM cell, where the migrated SRAM cell is designed to be a drop-in replacement for the source SRAM cell. In one embodiment, the migrated SRAM cell can have the same area as the source SRAM cell, and each of the DDC transistors in the migrated SRAM cell can have the same size as the corresponding transistor in the source SRAM cell. In alternative embodiments, the migrated SRAM cell can be fabricated without making any modifications to the Graphic Data System (GDS) format information corresponding to the source SRAM cell. In other embodiments, the migrated SRAM cell can be fabricated using the same GDS format information as the source SRAM cell but the GDS information can be resized to produce the master or direct write information for the migrated SRAM cell. Similarly, a hybrid SRAM cell can also be generated as the optimized migrated SRAM cell, where the generated hybrid SRAM is a drop-in replacement of the source SRAM cell and uses transistors that have approximately the same size, or where the hybrid SRAM cell can be fabricated without making any modifications to the GDS format information of the source SRAM cell or making no modification other than resizing the GDS format information. In certain embodiments, the migrated cell can be smaller and/or have dimensions and layout that make it more lithography friendly, i.e., easier to fabricate at high yield. In other embodiments, the transistors of the migrated SRAM cell can use metal gates having a work function that is the same as the work function of the metal gates used for the conventional transistors of the source SRAM cell.

It should be appreciated that in the foregoing description of exemplary embodiments of the invention, various features of the invention are sometimes grouped together in a single embodiment, figure, or description thereof for the purpose of streamlining the disclosure aiding in the understanding of one or more of the various inventive aspects. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed invention requires more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive aspects lie in less than all features of a single foregoing disclosed embodiment. Thus, the claims following the detailed description are hereby expressly incorporated into this detailed description, with each claim standing on its own as a separate embodiment of this invention.

It is also understood that the embodiments of the invention may be practiced in the absence of an element and/or step not specifically disclosed. That is, an inventive feature of the invention may be elimination of an element.

Accordingly, while the various aspects of the particular embodiments set forth herein have been described in detail, the present invention could be subject to various changes, substitutions, and alterations without departing from the spirit and scope of the invention.

Rogenmoser, Robert, Roy, Richard S., Thompson, Scott E., Clark, Lawrence T., Thummalapally, Damodar R.

Patent Priority Assignee Title
9741428, May 13 2011 MIE FUJITSU SEMICONDUCTOR LIMITED Integrated circuit devices and methods
9966130, May 13 2011 MIE FUJITSU SEMICONDUCTOR LIMITED Integrated circuit devices and methods
Patent Priority Assignee Title
3958266, Apr 19 1974 RCA Corporation Deep depletion insulated gate field effect transistors
4000504, May 12 1975 Hewlett-Packard Company Deep channel MOS transistor
4021835, Jan 25 1974 Hitachi, Ltd. Semiconductor device and a method for fabricating the same
4242691, Sep 18 1978 Mitsubishi Denki Kabushiki Kaisha MOS Semiconductor device
4276095, Aug 31 1977 International Business Machines Corporation Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations
4315781, Apr 23 1980 Hughes Electronics Corporation Method of controlling MOSFET threshold voltage with self-aligned channel stop
4518926, Dec 20 1982 AT&T Bell Laboratories Gate-coupled field-effect transistor pair amplifier
4559091, Jun 15 1984 Regents of the University of California Method for producing hyperabrupt doping profiles in semiconductors
4578128, Dec 03 1984 MagnaChip Semiconductor, Ltd Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants
4617066, Nov 26 1984 Hughes Electronics Corporation Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
4662061, Feb 27 1985 Texas Instruments Incorporated Method for fabricating a CMOS well structure
4761384, Jun 10 1986 Siemens Aktiengesellschaft Forming retrograde twin wells by outdiffusion of impurity ions in epitaxial layer followed by CMOS device processing
4780748, Jun 06 1986 American Telephone & Telegraph Company, AT&T Bell Laboratories Field-effect transistor having a delta-doped ohmic contact
4819043, Nov 29 1985 Hitachi, Ltd. MOSFET with reduced short channel effect
4885477, Jun 08 1987 U S PHILIPS CORPORATION, A CORP OF DE Differential amplifier and current sensing circuit including such an amplifier
4908681, Apr 30 1980 Sanyo Electric Co., Ltd. Insulated gate field effect transistor with buried layer
4945254, Mar 19 1986 Qinetiq Limited Method and apparatus for monitoring surface layer growth
4956311, Jun 27 1989 National Semiconductor Corporation Double-diffused drain CMOS process using a counterdoping technique
5034337, Feb 10 1989 Texas Instruments Incorporated Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices
5144378, Mar 06 1990 Fujitsu Limited High electron mobility transistor
5156989, Nov 08 1988 Siliconix, Incorporated; SILICONIX INCORPORATED, A DE CORP Complementary, isolated DMOS IC technology
5156990, Jul 23 1986 Texas Instruments Incorporated Floating-gate memory cell with tailored doping profile
5166765, Aug 26 1991 AT&T Bell Laboratories Insulated gate field-effect transistor with pulse-shaped doping
5208473, Nov 29 1989 Mitsubishi Denki Kabushiki Kaisha Lightly doped MISFET with reduced latchup and punchthrough
5294821, Oct 09 1990 Seiko Epson Corporation Thin-film SOI semiconductor device having heavily doped diffusion regions beneath the channels of transistors
5298763, Nov 02 1992 Freescale Semiconductor, Inc Intrinsically doped semiconductor structure and method for making
5369288, May 08 1992 Fujitsu Limited Semiconductor device for switching a ballistic flow of carriers
5373186, Nov 14 1985 Max-Planck Gesellschaft Zur Foerderung Der Wissenschaften E.V. Bipolar transistor with monoatomic base layer between emitter and collector layers
5384476, Aug 25 1979 TOHOKU UNIVERSITY Short channel MOSFET with buried anti-punch through region
5426328, Sep 21 1992 Siliconix Incorporated BICDMOS structures
5444008, Sep 24 1993 VLSI Technology, Inc High-performance punchthrough implant method for MOS/VLSI
5552332, Jun 02 1995 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Process for fabricating a MOSFET device having reduced reverse short channel effects
5559368, Aug 30 1994 REGENTS OF THE UNIVERSITY OF CALIFORNIA OFFICE OF TECHNOLOGY LICENSING Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation
5608253, Mar 22 1995 GLOBALFOUNDRIES Inc Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
5622880, Aug 18 1994 Oracle America, Inc Method of making a low power, high performance junction transistor
5624863, Jul 17 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
5625568, Dec 22 1993 VLSI Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
5641980, Jun 16 1995 Renesas Electronics Corporation Device having a high concentration region under the channel
5663583, Jun 06 1995 Hughes Electronics Corporation Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate
5712501, Oct 10 1995 Freescale Semiconductor, Inc Graded-channel semiconductor device
5719422, Aug 18 1994 Sun Microsystems, Inc. Low threshold voltage, high performance junction transistor
5726488, Nov 29 1985 Hitachi, Ltd. Semiconductor device having semiconductor elements formed in a retrograde well structure
5726562, Sep 07 1995 NEC Corporation Semiconductor device and power supply controller for same
5731626, Dec 01 1994 Bell Semiconductor, LLC Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
5736419, Nov 12 1996 National Semiconductor Corporation Method of fabricating a raised source/drain MOSFET using self-aligned POCl3 for doping gate/source/drain regions
5753555, Nov 22 1995 NEC Electronics Corporation Method for forming semiconductor device
5754826, Aug 04 1995 ARM, INC CAD and simulation system for targeting IC designs to multiple fabrication processes
5756365, Oct 30 1992 Kabushiki Kaisha Toshiba Method of manufacturing MOS-type semiconductor device having electrode structure capable of coping with short-channel effects
5763921, Oct 22 1991 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including retrograde well structure with suppressed substrate bias effects
5780899, Aug 30 1994 The Regents of the University of California Delta doped and counter doped dynamic threshold voltage MOSFET for ultra-low voltage operation
5847419, Sep 17 1996 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
5856003, Nov 17 1997 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
5861334, Aug 07 1995 Hyundai Electronics Industries Co., Method for fabricating semiconductor device having a buried channel
5877049, Mar 22 1995 GLOBALFOUNDRIES Inc Method for forming advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits
5885876, Feb 07 1996 THUNDERBIRD TECHNOLOGIES, INC Methods of fabricating short channel fermi-threshold field effect transistors including drain field termination region
5889315, Aug 18 1994 National Semiconductor Corporation Semiconductor structure having two levels of buried regions
5895954, Mar 27 1997 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with impurity concentration peak under gate electrode
5899714, Feb 23 1995 National Semiconductor Corporation Fabrication of semiconductor structure having two levels of buried regions
5918129, Feb 25 1997 GLOBALFOUNDRIES Inc Method of channel doping using diffusion from implanted polysilicon
5923067, Apr 04 1997 Cirrus Logic, INC 3-D CMOS-on-SOI ESD structure and method
5923987, Jun 30 1997 Oracle America, Inc Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface
5936868, Mar 06 1997 Harris Corporation Method for converting an integrated circuit design for an upgraded process
5946214, Jul 11 1997 Advanced Micro Devices Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blocks with redundant rows and/or columns
5985705, Jun 30 1998 Bell Semiconductor, LLC Low threshold voltage MOS transistor and method of manufacture
5989963, Jul 21 1997 Advanced Micro Devices, Inc. Method for obtaining a steep retrograde channel profile
6001695, Mar 02 1998 TSMC-ACER Semiconductor Manufacturing Corporation; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method to form ultra-short channel MOSFET with a gate-side airgap structure
6020227, Sep 12 1995 National Semiconductor Corporation Fabrication of multiple field-effect transistor structure having local threshold-adjust doping
6043139, Dec 01 1994 Bell Semiconductor, LLC Process for controlling dopant diffusion in a semiconductor layer
6060345, Apr 21 1997 GLOBALFOUNDRIES Inc Method of making NMOS and PMOS devices with reduced masking steps
6060364, Mar 02 1999 Advanced Micro Devices, Inc. Fast Mosfet with low-doped source/drain
6066533, Sep 29 1998 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
6072217, Jun 11 1998 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
6087210, Jun 05 1998 HYUNDAI ELECTRONICS INDUSTRIES CO , LTD Method of manufacturing a CMOS Transistor
6087691, Feb 04 1997 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having lower minority carrier noise
6088518, Jan 30 1998 Aspec Technology, Inc. Method and system for porting an integrated circuit layout from a reference process to a target process
6091286, Feb 14 1994 NXP B V Fully integrated reference circuit having controlled temperature dependence
6096611, Mar 13 1998 TSMC-ACER Semiconductor Manufacturing Corporation; TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method to fabricate dual threshold CMOS circuits
6103562, Jan 14 1998 LG Semicon Co., Ltd. Method of making semiconductor device with decreased channel width and constant threshold voltage
6121153, Aug 29 1994 Fujitsu Limited Semiconductor device having a regrowth crystal region
6147383, Mar 10 1995 Sony Corporation LDD buried channel field effect semiconductor device and manufacturing method
6153920, Dec 01 1994 Bell Semiconductor, LLC Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby
6157073, Sep 29 1997 STMICROELECTRONICS S A Isolation between power supplies of an analog-digital circuit
6175582, Nov 26 1997 Mitsui Chemicals Inc. Semiconductor laser device
6184112, Dec 02 1998 GLOBALFOUNDRIES Inc Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile
6190979, Jul 12 1999 International Business Machines Corporation Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill
6194259, Jun 27 1997 GLOBALFOUNDRIES Inc Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants
6198157, Feb 26 1997 Renesas Electronics Corporation Semiconductor device having buried boron and carbon regions
6218892, Jun 20 1997 Intel Corporation Differential circuits employing forward body bias
6218895, Jun 20 1997 Intel Corporation Multiple well transistor circuits having forward body bias
6221724, Nov 06 1998 GLOBALFOUNDRIES Inc Method of fabricating an integrated circuit having punch-through suppression
6229188, Jan 05 1987 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
6232164, May 24 1999 Taiwan Semiconductor Manufacturing Company Process of making CMOS device structure having an anti-SCE block implant
6235597, Aug 06 1999 International Business Machines Corporation Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication
6245618, Feb 03 1999 Advanced Micro Devices, Inc. Mosfet with localized amorphous region with retrograde implantation
6268640, Aug 12 1999 International Business Machines Corporation Forming steep lateral doping distribution at source/drain junctions
6271070, Dec 25 1997 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Method of manufacturing semiconductor device
6271551, Dec 15 1995 NXP B V Si-Ge CMOS semiconductor device
6288429, Dec 04 1996 Sharp Kabushiki Kaisha Semiconductor device
6297132, Feb 07 2000 Chartered Semiconductor Manufacturing Ltd. Process to control the lateral doping profile of an implanted channel region
6300177, Jan 25 2001 Chartered Semiconductor Manufacturing LTD Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
6313489, Nov 16 1999 NXP B V Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device
6319799, May 09 2000 Intellectual Ventures Holding 40 LLC High mobility heterojunction transistor and method
6320222, Sep 01 1998 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
6323525, Sep 18 1997 Kabushiki Kaisha Toshiba MISFET semiconductor device having relative impurity concentration levels between layers
6326666, Mar 23 2000 International Business Machines Corporation DTCMOS circuit having improved speed
6335233, Jul 02 1998 Samsung Electronics Co., Ltd. Method for fabricating MOS transistor
6358806, Jun 29 2001 Bell Semiconductor, LLC Silicon carbide CMOS channel
6380019, Nov 06 1998 GLOBALFOUNDRIES Inc Method of manufacturing a transistor with local insulator structure
6391752, Sep 12 2000 Taiwan Semiconductor Manufacturing, Co., Ltd. Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane
6426260, Dec 02 1997 ALPHA AND OMEGA SEMICONDUCTOR, LTD Switching speed improvement in DMO by implanting lightly doped region under gate
6426279, Aug 18 1999 Advanced Micro Devices, Inc. Epitaxial delta doping for retrograde channel profile
6432754, Feb 20 2001 GLOBALFOUNDRIES Inc Double SOI device with recess etch and epitaxy
6444550, Aug 18 1999 GLOBALFOUNDRIES Inc Laser tailoring retrograde channel profile in surfaces
6444551, Jul 23 2001 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
6449749, Nov 18 1999 PDF Solutions, Inc System and method for product yield prediction
6461920, Apr 01 1996 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
6461928, May 23 2000 Texas Instruments Incorporated Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants
6472278, Jun 22 1998 Freescale Semiconductor, Inc Method and apparatus for creating a voltage threshold in a FET
6482714, Feb 24 1999 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
6489224, May 31 2001 Oracle America, Inc Method for engineering the threshold voltage of a device using buried wells
6492232, Jun 15 1998 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method of manufacturing vertical semiconductor device
6500739, Jun 14 2001 Taiwan Semiconductor Manufacturing Company Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect
6503801, Aug 18 1999 GLOBALFOUNDRIES Inc Non-uniform channel profile via enhanced diffusion
6503805, Sep 02 1999 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Channel implant through gate polysilicon
6506640, Sep 24 1999 MONTEREY RESEARCH, LLC Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through
6518623, Jun 09 2000 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a buried-channel MOS structure
6521470, Oct 31 2001 United Microelectronics Corp. Method of measuring thickness of epitaxial layer
6534373, Mar 26 2001 GLOBALFOUNDRIES U S INC MOS transistor with reduced floating body effect
6541328, Feb 19 2001 Samsung Electronics Co., Ltd. Method of fabricating metal oxide semiconductor transistor with lightly doped impurity regions formed after removing spacers used for defining higher density impurity regions
6541829, Dec 03 1999 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
6548842, Mar 31 2000 National Semiconductor Corporation Field-effect transistor for alleviating short-channel effects
6551885, Feb 09 2001 GLOBALFOUNDRIES U S INC Low temperature process for a thin film transistor
6552377, Sep 29 1998 Advanced Micro Devices, Inc. Mos transistor with dual metal gate structure
6560139, Mar 05 2001 Intel Corporation Low leakage current SRAM array
6573129, Aug 06 1999 Raytheon Company Gate electrode formation in double-recessed transistor by two-step etching
6576535, Apr 11 2001 Texas Instruments Incorporated Carbon doped epitaxial layer for high speed CB-CMOS
6600200, Aug 25 1999 Infineon Technologies AG MOS transistor, method for fabricating a MOS transistor and method for fabricating two complementary MOS transistors
6620671, May 01 2001 GLOBALFOUNDRIES U S INC Method of fabricating transistor having a single crystalline gate conductor
6624488, Aug 07 2000 Advanced Micro Devices, Inc. Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices
6627473, Nov 16 1999 NEC Electronics Corporation Compound semiconductor device with delta doped layer under etching stopper layer for decreasing resistance between active layer and ohmic electrode and process of fabrication thereof
6630710, Sep 29 1998 Newport Fab, LLC Elevated channel MOSFET
6660605, Nov 12 2002 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
6662350, Jan 28 2002 GOOGLE LLC FinFET layout generation
6667200, May 20 2002 Hynix Semiconductor Inc. Method for forming transistor of semiconductor device
6670260, Nov 06 1998 Advanced Micro Devices, Inc. Transistor with local insulator structure
6693333, May 01 2001 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
6697978, Oct 25 1999 RATEZE REMOTE MGMT L L C Method for testing of known good die
6730568, Sep 17 2002 Hynix Semiconductor Inc.; Hynix Semiconductor Inc Method for fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by boron-fluoride compound doping
6737724, Feb 24 1999 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
6743291, Jul 09 2002 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
6743684, Oct 11 2002 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
6751519, Oct 25 2001 KLA-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
6753230, May 18 2002 Hynix Semiconductor Inc.; Hynix Semiconductor Inc Method for fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by decaborane doping
6760900, Dec 03 2001 Skyworks Solutions, Inc Integrated circuits with scalable design
6770944, Dec 03 1999 Kabushiki Kaisha Toshiba Semiconductor device having counter and channel impurity regions
6787424, Feb 09 2001 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
6797553, Oct 24 2000 GLOBALFOUNDRIES U S INC Method for making multiple threshold voltage FET using multiple work-function gate materials
6797602, Feb 09 2001 Advanced Micro Devices, INC Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
6797994, Feb 14 2000 Raytheon Company Double recessed transistor
6808004, Jan 31 2002 THT Presses Inc. Semi-solid molding method
6808994, Jun 17 2003 Micron Technology, Inc. Transistor structures and processes for forming same
6813750, Apr 02 2001 Kabushiki Kaisha Toshiba Logic circuit design equipment and method for designing logic circuit for reducing leakage current
6821825, Feb 12 2001 ASM IP HOLDING B V Process for deposition of semiconductor films
6821852, Feb 13 2001 Micron Technology, Inc. Dual doped gates
6822297, Jun 07 2001 Texas Instruments Incorporated Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
6831292, Sep 21 2001 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
6835639, Nov 30 2001 Texas Instruments Incorporated Multiple work function gates
6852602, Jan 31 2001 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Semiconductor crystal film and method for preparation thereof
6852603, Dec 12 2001 Texas Instruments Incorporated Fabrication of abrupt ultra-shallow junctions
6881641, Mar 28 2002 Advanced Micro Devices, INC Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same
6881987, Dec 30 2002 Hynix Semiconductor Inc. pMOS device having ultra shallow super-steep-retrograde epi-channel with dual channel doping and method for fabricating the same
6891439, Nov 04 2002 Advanced Micro Devices, Inc. Circuit and a method for controlling the bias current in a switched capacitor circuit
6891745, Nov 08 2002 Taiwan Semiconductor Manufacturing Company Design concept for SRAM read margin
6893947, Jun 25 2002 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Advanced RF enhancement-mode FETs with improved gate properties
6900519, Jul 18 2002 GLOBALFOUNDRIES U S INC Diffused extrinsic base and method for fabrication
6901564, Nov 18 1999 PDF Solutions, Inc. System and method for product yield prediction
6916698, Apr 19 2002 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
6917237, Mar 02 2004 Intel Corporation Temperature dependent regulation of threshold voltage
6927463, Jun 28 1996 Sharp Kabushiki Kaisha Semiconductor device and method for fabricating the same
6928128, May 03 1999 Rambus Inc Clock alignment circuit having a self regulating voltage supply
6930007, Sep 15 2003 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
6930360, Sep 24 2002 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
6957163, Apr 24 2002 RPX Corporation Integrated circuits having post-silicon adjustment control
6963090, Jan 09 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Enhancement mode metal-oxide-semiconductor field effect transistor
6995397, Sep 14 2001 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Semiconductor device
7002214, Jul 30 2004 GLOBALFOUNDRIES U S INC Ultra-thin body super-steep retrograde well (SSRW) FET devices
7008836, Mar 28 2003 Infineon Technologies Wireless Solutions Sweden AB Method to provide a triple well in an epitaxially based CMOS or BiCMOS process
7013359, Dec 21 2001 LONGITUDE FLASH MEMORY SOLUTIONS LTD High speed memory interface system and method
7015546, Feb 23 2000 Semiconductor Research Corporation Deterministically doped field-effect devices and methods of making same
7015741, Dec 23 2003 Intel Corporation Adaptive body bias for clock skew compensation
7022559, Sep 30 1998 Intel Corporation MOSFET gate electrodes having performance tuned work functions and methods of making same
7036098, Jun 30 2003 Oracle America, Inc On-chip signal state duration measurement and adjustment
7038258, Jun 05 2003 Texas Instruments Incorporated Semiconductor device having a localized halo implant therein and method of manufacture therefor
7039881, Dec 08 1999 Modification of integrated circuits
7045456, Dec 22 2003 Texas Instruments Incorporated MOS transistor gates with thin lower metal silicide and methods for making the same
7057216, Oct 31 2003 GLOBALFOUNDRIES U S INC High mobility heterojunction complementary field effect transistors and methods thereof
7061058, Dec 01 2003 Texas Instruments Incorporated Forming a retrograde well in a transistor to enhance performance of the transistor
7064039, Oct 11 2002 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
7064399, Sep 15 2000 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
7071103, Jul 30 2004 International Business Machines Corporation Chemical treatment to retard diffusion in a semiconductor overlayer
7078325, Jul 12 2000 Polaris Innovations Limited Process for producing a doped semiconductor substrate
7078776, Dec 03 1999 Kabushiki Kaisha Toshiba Low threshold voltage semiconductor device
7089513, Mar 19 2004 International Business Machines Corporation Integrated circuit design for signal integrity, avoiding well proximity effects
7089515, Mar 09 2004 GLOBALFOUNDRIES Inc Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power
7091093, Sep 17 1999 RPX Corporation Method for fabricating a semiconductor device having a pocket dopant diffused layer
7105399, Dec 07 2004 GLOBALFOUNDRIES U S INC Selective epitaxial growth for tunable channel thickness
7109099, Oct 17 2003 Taiwan Semiconductor Manufacturing Company, Ltd End of range (EOR) secondary defect engineering using substitutional carbon doping
7119381, Jul 30 2004 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices
7122411, Aug 31 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SOI device with reduced drain induced barrier lowering
7127687, Oct 14 2003 Oracle America, Inc Method and apparatus for determining transistor sizes
7132323, Nov 14 2003 GLOBALFOUNDRIES U S INC CMOS well structure and method of forming the same
7169675, Jul 07 2004 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
7170120, Mar 31 2005 Intel Corporation Carbon nanotube energy well (CNEW) field effect transistor
7176137, May 09 2003 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
7186598, Sep 24 2002 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
7189627, Aug 19 2004 Texas Instruments Incorporated Method to improve SRAM performance and stability
7199430, Sep 15 2000 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
7202517, Jul 18 2003 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM IMEC VZW A BELGIUM CORPORATION Multiple gate semiconductor device and method for forming same
7208354, Jul 23 2003 ASM IP HOLDING B V Deposition of silicon germanium on silicon-on-insulator structures and bulk substrates
7211871, Dec 31 2003 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Transistors of semiconductor devices and methods of fabricating the same
7221021, Jun 25 2004 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high voltage devices with retrograde well
7221581, Nov 15 2004 STMicroelectronics SA Memory with storage cells biased in groups
7223646, Mar 30 2005 Fujitsu Semiconductor Limited Manufacturing method of semiconductor device suppressing short-channel effect
7226833, Oct 29 2004 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Semiconductor device structure and method therefor
7226843, Sep 30 2002 Intel Corporation Indium-boron dual halo MOSFET
7230680, Dec 20 2002 Kioxia Corporation Wafer flatness evaluation method, wafer flatness evaluation apparatus carrying out the evaluation method, wafer manufacturing method using the evaluation method, wafer quality assurance method using the evaluation method, semiconductor device manufacturing method using the evaluation method and semiconductor device manufacturing method using a wafer evaluated by the evaluation method
7235822, Dec 30 2003 Infineon Technologies AG Transistor with silicon and carbon layer in the channel region
7256639, Feb 02 2004 Meta Platforms, Inc Systems and methods for integrated circuits comprising multiple body bias domains
7259428, Aug 05 2004 Kioxia Corporation Semiconductor device using SOI structure having a triple-well region
7260562, Jun 30 2003 Intel Corporation Solutions for constraint satisfaction problems requiring multiple constraints
7294877, Mar 28 2003 NANTERO, INC Nanotube-on-gate FET structures and applications
7297994, Mar 28 2002 Advanced Micro Devices, INC Semiconductor device having a retrograde dopant profile in a channel region
7301208, Aug 04 2004 Godo Kaisha IP Bridge 1 Semiconductor device and method for fabricating the same
7304350, Sep 05 2005 Godo Kaisha IP Bridge 1 Threshold voltage control layer in a semiconductor device
7307471, Aug 26 2005 Texas Instruments Incorporated Adaptive voltage control and body bias for performance and energy optimization
7312500, Mar 30 2005 Fujitsu Semiconductor Limited Manufacturing method of semiconductor device suppressing short-channel effect
7323754, Apr 10 2003 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Semiconductor device and its manufacture method
7327598, Nov 10 2004 Texas Instruments Incorporated High performance, low leakage SRAM device and a method of placing a portion of memory cells of an SRAM device in an active mode
7332439, Sep 29 2004 TAHOE RESEARCH, LTD Metal gate transistors with epitaxial source and drain regions
7348629, Apr 20 2006 GLOBALFOUNDRIES Inc Metal gated ultra short MOSFET devices
7354833, Jun 10 2005 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving threshold voltage stability of a MOS device
7380225, Mar 14 2006 GLOBALFOUNDRIES Inc Method and computer program for efficient cell failure rate estimation in cell arrays
7398497, Jul 11 2001 Fujitsu Limited Electronic circuit designing method apparatus for designing an electronic circuit, and storage medium for storing an electronic circuit designing method
7402207, May 05 2004 Advanced Micro Devices, INC Method and apparatus for controlling the thickness of a selective epitaxial growth layer
7402872, Nov 18 2004 TAHOE RESEARCH, LTD Method for forming an integrated circuit
7416605, Jan 08 2007 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Anneal of epitaxial layer in a semiconductor device
7427788, Oct 28 2004 Samsung Electronics Co., Ltd. Multi bridge channel field effect transistors with nano-wire channels and methods of manufacturing the same
7442971, Jul 30 2004 Advanced Micro Devices, Inc. Self-biasing transistor structure and an SRAM cell having less than six transistors
7449733, Nov 09 2005 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
7462908, Jul 14 2004 Infineon Technologies Americas Corp Dynamic deep depletion field effect transistor
7469164, Jun 26 2006 ONTO INNOVATION INC Method and apparatus for process control with in-die metrology
7470593, Dec 29 2004 Hynix Semiconductor Inc. Method for manufacturing a cell transistor of a semiconductor memory device
7485536, Dec 30 2005 TAHOE RESEARCH, LTD Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
7487474, Jan 02 2003 PDF Solutions, Inc Designing an integrated circuit to improve yield using a variant design element
7491988, Jun 28 2004 TAHOE RESEARCH, LTD Transistors with increased mobility in the channel zone and method of fabrication
7494861, Apr 20 2006 GLOBALFOUNDRIES Inc Method for metal gated ultra short MOSFET devices
7496862, Aug 29 2006 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
7496867, Apr 02 2007 Bell Semiconductor, LLC Cell library management for power optimization
7498637, Jun 15 2004 Renesas Electronics Corporation; NEC Electronics Corporation Semiconductor memory
7501324, Sep 15 2000 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
7503020, Jun 19 2006 GLOBALFOUNDRIES Inc IC layout optimization to improve yield
7507999, Jul 11 2002 Panasonic Corporation Semiconductor device and method for manufacturing same
7514766, Oct 07 2005 Renesas Electronics Corporation Semiconductor device
7521323, Sep 03 2003 IMEC Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
7531393, Jan 18 2005 Intel Corporation Non-planar MOS structure with a strained channel region
7531836, Mar 31 2005 Intel Corporation Body bias compensation for aged transistors
7538364, Apr 28 2003 Sharp Kabushiki Kaisha Compound semiconductor FET
7538412, Jun 30 2006 Infineon Technologies Austria AG Semiconductor device with a field stop zone
7562233, Jun 22 2004 Meta Platforms, Inc Adaptive control of operating and body bias voltages
7564105, Apr 24 2004 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-plannar and FinFET-like transistors on bulk silicon
7566600, Aug 31 2000 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SOI device with reduced drain induced barrier lowering
7569456, Feb 28 2003 Samsung Electronics Co., Ltd. MOS transistor with elevated source and drain structures and method of fabrication thereof
7586322, May 02 2007 Altera Corporation Test structure and method for measuring mismatch and well proximity effects
7592241, Sep 27 2004 SOCIONEXT INC Semiconductor device having well with peak impurity concentrations and method for fabricating the same
7595243, Mar 17 2004 National Semiconductor Corporation Fabrication of semiconductor structure having N-channel channel-junction field-effect transistor
7598142, Mar 15 2007 Intel Corporation CMOS device with dual-epi channels and self-aligned contacts
7605041, Apr 10 2003 Fujitsu Semiconductor Limited Semiconductor device and its manufacture method
7605060, Mar 28 2003 MORGAN STANLEY SENIOR FUNDING, INC Method of epitaxial deoposition of an n-doped silicon layer
7605429, Apr 15 2005 GLOBALFOUNDRIES U S INC Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement
7608496, Mar 12 1999 ELPIS TECHNOLOGIES INC High speed GE channel heterostructures for field effect devices
7615802, Mar 19 2003 Infineon Technologies AG Semiconductor structure comprising a highly doped conductive channel region and method for producing a semiconductor structure
7622341, Jan 16 2008 GLOBALFOUNDRIES Inc Sige channel epitaxial development for high-k PFET manufacturability
7638380, Jan 05 2000 Bell Semiconductor, LLC Method for manufacturing a laterally diffused metal oxide semiconductor device
7642140, Jan 07 2000 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having buried silicon germanium layers therein and method of forming same
7644377, Jan 31 2007 Hewlett Packard Enterprise Development LP Generating a configuration of a system that satisfies constraints contained in models
7645665, Mar 30 2006 Fujitsu Semiconductor Limited Semiconductor device having shallow b-doped region and its manufacture
7651920, Jun 29 2007 Infineon Technologies AG Noise reduction in semiconductor device using counter-doping
7655523, Sep 15 2000 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
7673273, Jul 08 2002 LIBERTY PATENTS LLC MPGA products based on a prototype FPGA
7675126, Dec 30 2004 COLUMBA TECHNOLOGIES INC Metal oxide semiconductor field effect transistor and method of fabricating the same
7675317, Sep 14 2007 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
7678638, Apr 20 2006 GLOBALFOUNDRIES Inc Metal gated ultra short MOSFET devices
7681628, Apr 12 2006 GLOBALFOUNDRIES Inc Dynamic control of back gate bias in a FinFET SRAM cell
7682887, Jan 27 2005 GLOBALFOUNDRIES U S INC Transistor having high mobility channel and methods
7683442, Sep 29 2006 Intellectual Ventures Holding 81 LLC Raised source/drain with super steep retrograde channel
7688669, Feb 15 2007 STMICROELECTRONICS FRANCE Programmable SRAM source bias scheme for use with switchable SRAM power supply sets of voltages
7696000, Dec 01 2006 GLOBALFOUNDRIES U S INC Low defect Si:C layer with retrograde carbon profile
7704822, Dec 27 2005 Dongbu Hitek Co., Ltd. Semiconductor device
7704844, Oct 04 2007 GLOBALFOUNDRIES Inc High performance MOSFET
7709828, Sep 24 2002 Taiwan Semiconductor Manufacturing Company, Ltd RF circuits including transistors having strained material layers
7723750, Sep 30 2004 GLOBALFOUNDRIES Inc MOSFET with super-steep retrograded island
7737472, Apr 05 2007 SOCIONEXT INC Semiconductor integrated circuit device
7741138, Aug 29 2007 DB HITEK CO , LTD Semiconductor device and fabricating method thereof
7741200, Dec 01 2006 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
7745270, Dec 28 2007 Intel Corporation Tri-gate patterning using dual layer gate stack
7750374, Nov 14 2006 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Process for forming an electronic device including a transistor having a metal gate electrode
7750381, Mar 20 2007 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
7750405, Aug 08 2005 ELPIS TECHNOLOGIES INC Low-cost high-performance planar back-gate CMOS
7750682, Mar 10 2008 International Business Machines Corporation CMOS back-gated keeper technique
7755144, Nov 15 2005 Infineon Technologies AG Multiple-gate MOS transistors
7755146, Jul 08 2002 Round Rock Research, LLC Formation of standard voltage threshold and low voltage threshold MOSFET devices
7759206, Nov 29 2005 International Business Machines Corporation; Chartered Semiconductor Manufacturing Ltd. Methods of forming semiconductor devices using embedded L-shape spacers
7759714, Jun 26 2007 Hitachi, LTD Semiconductor device
7761820, Aug 05 2005 GLOBALFOUNDRIES Inc Automated migration of analog and mixed-signal VLSI design
7795677, Sep 05 2007 GLOBALFOUNDRIES U S INC Nanowire field-effect transistors
7802210, Jan 23 2006 Samsung Electronics Co., Ltd. Methods and systems for analyzing layouts of semiconductor integrated circuit devices
7808045, Aug 01 2005 Renesas Electronics Corporation Semiconductor device and semiconductor integrated circuit using the same
7808410, Apr 11 2008 Samsung Electronic Co., Ltd. Current control circuit
7808804, Nov 10 2006 Samsung Electronics Co., Ltd. Power line layout
7811873, Sep 29 2006 LAPIS SEMICONDUCTOR CO , LTD Method for fabricating MOS-FET
7811881, Oct 28 2005 International Business Machines Corporation Methods for forming semiconductor structures with buried isolation collars and semiconductor structures formed by these methods
7818702, Feb 28 2007 International Business Machines Corporation Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates
7821066, Dec 08 2006 IQE plc Multilayered BOX in FDSOI MOSFETS
7829402, Feb 10 2009 General Electric Company MOSFET devices and methods of making
7831873, Mar 07 2007 XILINX, Inc. Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits
7846822, Jul 30 2004 The Board of Trustees of the University of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
7855118, May 30 2008 Advanced Micro Devices, Inc. Drive current increase in transistors by asymmetric amorphization implantation
7859013, May 25 2006 GLOBALFOUNDRIES Inc Metal oxide field effect transistor with a sharp halo
7863163, Dec 22 2005 ASM IP HOLDING B V Epitaxial deposition of doped semiconductor materials
7867835, Feb 29 2008 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system for suppressing short channel effects
7883977, Sep 15 2000 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
7888205, Jun 19 2007 SanDisk Technologies LLC Highly scalable thin film transistor
7888747, May 19 2008 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
7895546, Sep 04 2007 Bell Semiconductor, LLC Statistical design closure
7897495, Dec 12 2006 Applied Materials, Inc Formation of epitaxial layer containing silicon and carbon
7906413, Jun 30 1997 GLOBALFOUNDRIES Inc Abrupt “delta-like” doping in Si and SiGe films by UHV-CVD
7906813, Feb 23 2006 Seiko Epson Corporation Semiconductor device having a first circuit block isolating a plurality of circuit blocks
7910419, Jun 11 2008 COMMISSARIAT A L ENERGIE ATOMIQUE SOI transistor with self-aligned ground plane and gate and buried oxide of variable thickness
7919791, Mar 25 2002 WOLFSPEED, INC Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same
7920438, May 30 2002 Renesas Electronics Corporation Semiconductor memory device having the operating voltage of the memory cell controlled
7926018, Sep 25 2007 Synopsys, Inc. Method and apparatus for generating a layout for a transistor
7934181, Jun 09 2006 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Method and apparatus for improving SRAM cell stability by using boosted word lines
7935984, May 31 2006 Sumitomo Chemical Company, Limited Compound semiconductor epitaxial substrate and method for producing the same
7940550, Sep 14 2009 Synopsys, Inc Systems and methods for reducing memory array leakage in high capacity memories by selective biasing
7941776, May 26 2006 ALPHAWAVE SEMI, INC Method of IC design optimization via creation of design-specific cells from post-layout patterns
7945800, Dec 23 2003 Round Rock Research, LLC Synchronization devices having input/output delay model tuning elements in signal paths to provide tuning capabilities to offset signal mismatch
7948008, Oct 26 2007 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Floating body field-effect transistors, and methods of forming floating body field-effect transistors
7952147, May 22 2006 SAMSUNG ELECTRONICS CO , LTD Semiconductor device having analog transistor with improved operating and flicker noise characteristics and method of making same
7960232, Jul 01 2005 Synopsys, Inc. Methods of designing an integrated circuit on corrugated substrate
7960238, Dec 29 2008 Texas Instruments Incorporated Multiple indium implant methods and devices and integrated circuits therefrom
7968400, Mar 13 2007 Semiconductor Components Industries, LLC Short channel LV, MV, and HV CMOS devices
7968411, Oct 20 2003 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Threshold voltage adjustment for long-channel transistors
7968440, Mar 19 2008 The Board of Trustees of the University of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
7968459, May 04 2006 GLOBALFOUNDRIES Inc Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
7989900, Feb 21 2007 GLOBALFOUNDRIES U S INC Semiconductor structure including gate electrode having laterally variable work function
7994573, Dec 14 2007 Semiconductor Components Industries, LLC Structure and method for forming power devices with carbon-containing region
8001493, Mar 10 2005 GLOBALFOUNDRIES Inc Efficient method and computer program for modeling and improving static memory performance across process variations and environmental conditions
8004024, Apr 06 2005 GLOBALFOUNDRIES U S INC Field effect transistor
8012827, Apr 22 2008 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM VZW IMEC ; Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating a dual workfunction semiconductor device and the device made thereof
8029620, Jul 31 2006 Applied Materials, Inc Methods of forming carbon-containing silicon epitaxial layers
8039332, Feb 27 2008 STMICROELECTRONICS GRENOBLE 2 SAS Method of manufacturing a buried-gate semiconductor device and corresponding integrated circuit
8046598, Jan 22 2007 Samsung Electronics Co., Ltd. Device and method for controlling supply voltage/frequency using information of process variation
8048791, Feb 23 2009 GLOBALFOUNDRIES U S INC Method of forming a semiconductor device
8048810, Jan 29 2010 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate N/P patterning
8051340, Feb 15 2005 CAVIUM INTERNATIONAL; MARVELL ASIA PTE, LTD System and method for balancing delay of signal communication paths through well voltage adjustment
8053340, Sep 27 2007 CHARTERED SEMICONDUCTOR MANUFACTURING PTE LTD ; GLOBALFOUNDRIES SINGAPORE PTE LTD Method for fabricating semiconductor devices with reduced junction diffusion
8063466, Sep 07 2006 Sumco Corporation Semiconductor substrate for solid-state image sensing device as well as solid-state image sensing device and method for producing the same
8067279, Oct 27 2003 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
8067280, Nov 30 2004 Taiwan Semiconductor Manufacturing Co., Ltd. High performance CMOS devices and methods for making same
8067302, Sep 25 2008 Applied Materials, Inc Defect-free junction formation using laser melt annealing of octadecaborane self-amorphizing implants
8076719, Feb 14 2008 MAXPOWER SEMICONDUCTOR INC Semiconductor device structures and related processes
8097529, Dec 09 2005 SemEquip, Inc. System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
8103983, Nov 12 2008 Siemens Industry Software Inc Electrically-driven optical proximity correction to compensate for non-optical effects
8105891, Sep 12 2008 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-K metal gate devices
8106424, Dec 23 2003 Infineon Technologies AG Field effect transistor with a heterostructure
8106481, Sep 03 2004 Greenthread, LLC Semiconductor devices with graded dopant regions
8107279, Dec 18 2006 Renesas Electronics Corporation; NEC Electronics Corporation Semiconductor integrated circuit and manufacturing method therefor
8110487, Jan 31 2008 Advanced Micro Devices, Inc. Method of creating a strained channel region in a transistor by deep implantation of strain-inducing species below the channel region
8114761, Nov 30 2009 Applied Materials, Inc. Method for doping non-planar transistors
8119482, Jun 06 2005 Alpha and Omega Semiconductor Incorporated MOSFET using gate work function engineering for switching applications
8120069, Dec 29 2005 Intellectual Ventures II LLC Stratified photodiode for high resolution CMOS image sensor implemented with STI technology
8129246, Sep 15 2000 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
8129797, Jun 18 2008 GLOBALFOUNDRIES U S INC Work function engineering for eDRAM MOSFETs
8134159, Jan 22 2009 Kabushiki Kaisha Toshiba Semiconductor device including a p-type transistor having extension regions in sours and drain regions and method of fabricating the same
8143120, Sep 29 2004 Bell Semiconductor, LLC Multiple doping level bipolar junctions transistors and method for forming
8143124, May 20 2003 Semiconductor Components Industries, LLC Methods of making power semiconductor devices with thick bottom oxide layer
8143678, Feb 09 2007 Samsung Electronics Co., Ltd Thin film transistors having multi-layer channel
8148774, Oct 28 2008 Renesas Electronics Corporation Method of fabricating semiconductor device with a high breakdown voltage between neighboring wells
8163619, Mar 27 2009 National Semiconductor Corporation Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone
8169002, Dec 31 2009 YLTLINK TECHNOLOGY CORPORATION High electron mobility transistor and method for fabricating the same
8170857, Nov 26 2008 GLOBALFOUNDRIES Inc In-situ design method and system for improved memory yield
8173499, Jun 12 2009 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a gate stack integration of complementary MOS device
8173502, Jul 15 2004 Infineon Technologies AG Formation of active area using semiconductor growth process without STI integration
8176461, May 10 2010 XILINX, Inc.; Xilinx, Inc Design-specific performance specification based on a yield for programmable integrated circuits
8178430, Apr 08 2009 GLOBALFOUNDRIES Inc N-type carrier enhancement in semiconductors
8179530, Sep 20 2000 KLA-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
8183096, Aug 29 2008 Advanced Micro Devices, Inc. Static RAM cell design and multi-contact regime for connecting double channel transistors
8183107, May 27 2009 GLOBALFOUNDRIES Inc.; GLOBALFOUNDRIES Inc Semiconductor devices with improved local matching and end resistance of RX based resistors
8185865, May 06 2005 RPX Corporation Methods for gate-length biasing using annotation data
8187959, Dec 18 2003 IMEC Semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same
8188542, Feb 05 2007 Samsung Electronics Co., Ltd. Field effect transistors including variable width channels and methods of forming the same
8196545, Apr 25 2008 Sumco Techxiv Corporation Device and method for manufacturing a semiconductor wafer
8201122, May 25 2010 GLOBALFOUNDRIES Inc Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes
8214190, Apr 13 2009 GLOBALFOUNDRIES U S INC Methodology for correlated memory fail estimations
8217423, Jan 04 2007 AURIGA INNOVATIONS, INC Structure and method for mobility enhanced MOSFETs with unalloyed silicide
8225255, May 21 2008 GLOBALFOUNDRIES U S INC Placement and optimization of process dummy cells
8227307, Jun 24 2009 ELPIS TECHNOLOGIES INC Method for removing threshold voltage adjusting layer with external acid diffusion process
8236661, Sep 28 2009 GLOBALFOUNDRIES Inc Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
8239803, Sep 07 2007 Renesas Electronics Corporation Layout method and layout apparatus for semiconductor integrated circuit
8247300, Oct 31 2001 Texas Instruments Incorporated Control of dopant diffusion from buried layers in bipolar integrated circuits
8255843, Nov 14 2005 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
8258026, Aug 29 2005 National Semiconductor Corporation Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications
8266567, Jun 28 2007 Applied Materials, Inc Semiconductor layout modification method based on design rule and user constraints
8286112, Jun 22 2007 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM VZW IMEC Methods for characterization of electronic circuits under process variability effects
8286180, Jul 04 2006 Imagination Technologies Limited Synchronisation of execution threads on a multi-threaded processor
8288798, Feb 10 2010 Taiwan Semiconductor Manufacturing Company, Ltd. Step doping in extensions of III-V family semiconductor devices
8296698, Feb 25 2010 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD High-speed SRAM
8299562, Mar 28 2011 Nanya Technology Corporation Isolation structure and device structure including the same
8324059, Apr 25 2011 United Microelectronics Corp. Method of fabricating a semiconductor structure
8811068, May 13 2011 MIE FUJITSU SEMICONDUCTOR LIMITED Integrated circuit devices and methods
20010014495,
20010038552,
20020042184,
20030006415,
20030047763,
20030122203,
20030173626,
20030183856,
20030215992,
20040075118,
20040075143,
20040084731,
20040087090,
20040126947,
20040175893,
20040180488,
20050106824,
20050116282,
20050250289,
20050280075,
20060022270,
20060049464,
20060068555,
20060068586,
20060071278,
20060154428,
20060197158,
20060203581,
20060220114,
20060220731,
20060223248,
20070040222,
20070117326,
20070158790,
20070212861,
20070238253,
20080067589,
20080108208,
20080143423,
20080169493,
20080169516,
20080197439,
20080227250,
20080237661,
20080258198,
20080272409,
20090057746,
20090108350,
20090134468,
20090224319,
20090302388,
20090309140,
20090311837,
20090321849,
20100012988,
20100038724,
20100100856,
20100148153,
20100149854,
20100187641,
20100207182,
20100270600,
20110059588,
20110073961,
20110074498,
20110079860,
20110079861,
20110080202,
20110095811,
20110147828,
20110169082,
20110175170,
20110180880,
20110193164,
20110212590,
20110230039,
20110242921,
20110248352,
20110294278,
20110309447,
20120021594,
20120034745,
20120056275,
20120065920,
20120108050,
20120132998,
20120138953,
20120146155,
20120167025,
20120187491,
20120190177,
20120223363,
EP274278,
EP312237,
EP531621,
EP683515,
EP889502,
EP1450394,
JP2004087671,
JP4186774,
JP59193066,
JP8153873,
JP8288508,
KR100794094,
WO2011062788,
///////
Executed onAssignorAssigneeConveyanceFrameReelDoc
May 21 2014CLARK, LAWRENCE T SUVOLTA, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335020178 pdf
May 21 2014THOMPSON, SCOTT E SUVOLTA, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335020178 pdf
May 21 2014ROY, RICHARD S SUVOLTA, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335020178 pdf
May 27 2014ROGENMOSER, ROBERTSUVOLTA, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335020178 pdf
May 28 2014THUMMALAPALLY, DAMODAR R SUVOLTA, INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0335020178 pdf
Aug 09 2014MIE FUJITSU SEMICONDUCTOR LIMITED(assignment on the face of the patent)
Mar 03 2015SU VOLTA, INC MIE FUJITSU SEMICONDUCTOR LIMITEDASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0355080113 pdf
Date Maintenance Fee Events
Nov 07 2019M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Jul 03 2023M1552: Payment of Maintenance Fee, 8th Year, Large Entity.


Date Maintenance Schedule
Jun 07 20194 years fee payment window open
Dec 07 20196 months grace period start (w surcharge)
Jun 07 2020patent expiry (for year 4)
Jun 07 20222 years to revive unintentionally abandoned end. (for year 4)
Jun 07 20238 years fee payment window open
Dec 07 20236 months grace period start (w surcharge)
Jun 07 2024patent expiry (for year 8)
Jun 07 20262 years to revive unintentionally abandoned end. (for year 8)
Jun 07 202712 years fee payment window open
Dec 07 20276 months grace period start (w surcharge)
Jun 07 2028patent expiry (for year 12)
Jun 07 20302 years to revive unintentionally abandoned end. (for year 12)