A wafer transfer apparatus is provided. In a minimum transformed state where a robot arm is transformed such that a distance defined from a pivot axis to an arm portion, which is farthest in a radial direction relative to the pivot axis, is minimum, a minimum rotation radius R, is set to exceed ½ of a length B in the forward and backward directions of an interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0).

Patent
   RE49671
Priority
Jul 20 2006
Filed
Oct 01 2021
Issued
Sep 26 2023
Expiry
Jul 18 2027

TERM.DISCL.
Assg.orig
Entity
Large
0
60
currently ok
0. 15. A substrate transfer apparatus for transferring a substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a foup opener including an opener-side door, the foup opener being configured to open and close a substrate container which includes a foup-side door and is located adjacent to the interface space and the front opening of the interface space forming portion, the foup opener being configured to open and close the opener-side door and the foup-side door; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the front opening and the rear opening,
wherein the substrate carrying robot includes:
a base which is located in the interface space and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second joint axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis, the drive unit including a plurality of motors, each of the plurality of motors being provided for a respective one of the plurality of link members, wherein
the pivot axis is located nearer to the rear wall than to the front wall in the forward and backward directions,
a first link distance l1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance l0 set to be greater by a predetermined gap length q than a radius T2 of an outer surface of the first link member about the pivot axis (L0=T2+q), from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0),
the first link distance l1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance l0 and a length E of a robot invasion restricted region from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E), and
the robot invasion restricted region is set for the foup opener, extends in the forward and backward directions, and is defined by a movable region in which the opener-side door and the foup-side door move relative to the substrate container.
0. 1. A wafer transfer apparatus for transferring a wafer, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a foup opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and
a wafer carrying robot located in the interface space and configured to carry the wafer between the front opening and the rear opening,
wherein the wafer carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and
a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis,
wherein, in a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0), and
the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the foup opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R<B−L0−E).
0. 2. The wafer transfer apparatus according to claim 1, wherein the robot arm includes:
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer,
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
0. 3. The wafer transfer apparatus according to claim 2,
wherein a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other, and
wherein a second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
0. 4. The wafer transfer apparatus according to claim 3, wherein a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
0. 5. The wafer transfer apparatus according to claim 4, wherein the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
0. 6. The wafer transfer apparatus according to claim 1,
wherein the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis, and
wherein the foup opener includes four openers which are provided in order to open and close the four openings, respectively.
0. 7. A substrate transfer apparatus for transferring a substrate, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein;
an opening and closing unit configured to open and close the first transfer port of the interface space forming portion; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port,
wherein the substrate carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis,
wherein the pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions, and
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0), and
the first link distance L1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis and a length E of a robot invasion restricted region, which is set for the foup opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E).
0. 8. A wafer transfer apparatus for transferring a wafer, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged at a predetermined interval in forward and backward directions, the front wall having a front opening formed therein, and the rear wall having a rear opening formed therein;
a foup opener configured to open and close the substrate container located adjacent to the interface space and the front opening of the interface space forming portion; and
a wafer carrying robot located in the interface space and configured to carry the wafer between the front opening and the rear opening,
wherein the wafer carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a robot arm having a proximal end and a distal end, the robot arm including a plurality of link members connected with one another in succession in a direction from the proximal end to the distal end, the proximal end being connected with the base, the distal end being provided with a robot hand for holding the wafer, the robot arm being configured to be angularly displaced about the pivot axis; and
a drive unit configured to drive each of the link members of the robot arm so that the link members are angularly displaced, individually, about each corresponding axis,
wherein, in a minimum transformed state where the robot arm is transformed such that a distance defined from the pivot axis to an arm portion which is farthest in a radial direction relative to the pivot axis is minimum, a minimum rotation radius R, as the distance defined from the pivot axis to the arm portion which is the farthest in the radial direction relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 set to be greater by a predetermined gap length q than a radius T2 of an outer circumference of the first link member about the pivot axis (L0=T2+q), from the length B in the forward and backward directions of the interface space (i.e., B/2<R≤B−L0), and
the minimum rotation radius R is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 set to be greater by the predetermined gap length q than the radius T2 of an outer circumference of the first link member about the pivot axis and a length E of a robot invasion restricted region, which is set for the foup opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., R<B−L0−E).
0. 9. The wafer transfer apparatus according to claim 8, wherein the robot arm includes:
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis; and
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes the robot hand at an other end of the third link member for holding the wafer,
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E) (i.e., ((B−L0−E)/2<L1≤B−L0−E).
0. 10. The wafer transfer apparatus according to claim 9,
wherein a first axis-to-axis distance L11 from the pivot axis to the first joint axis and a second axis-to-axis distance L12 from the first joint axis to the second joint axis are set to be equal to each other, and
wherein a second link distance L2 defined as a distance from the second joint axis to an end of the second link member, which is farthest in a direction toward the first joint axis relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
0. 11. The wafer transfer apparatus according to claim 10, wherein a third link distance L3 defined as a distance from the second joint axis to an end of the third link member or a portion of the wafer, which is farthest in a radial direction relative to the second joint axis, is set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E).
0. 12. The wafer transfer apparatus according to claim 11, wherein the first link distance L1, the second link distance L2 and the third link distance L3 are respectively set to be equal to the allowable length (B−L0−E).
0. 13. The wafer transfer apparatus according to claim 8,
wherein the front opening includes four openings which are formed in the interface space forming portion, the four openings being arranged in left and right directions orthogonal to both the forward and backward directions and a direction of the pivot axis, and
wherein the foup opener includes four openers which are provided in order to open and close the four openings, respectively.
0. 14. A substrate transfer apparatus for transferring a substrate, relative to a substrate processing apparatus for processing the substrate, comprising:
an interface space forming portion defining an interface space, the interface space forming portion having a front wall and a rear wall which are arranged in predetermined forward and backward directions at an interval, the front wall having a first transfer port formed therein, and the rear wall having a second transfer port formed therein;
an opening and closing unit configured to open and close the first transfer port of the interface space forming portion; and
a substrate carrying robot located in the interface space and configured to carry the substrate between the first transfer port and the second transfer port,
wherein the substrate carrying robot includes:
a base which is fixed to the interface space forming portion and at which a predetermined pivot axis is set;
a first link member which is connected at its one end with the base, configured to be angularly displaced about the pivot axis, and at which a first joint axis is set in parallel to the pivot axis;
a second link member which is connected at its one end with an other end of the first link member, configured to be angularly displaced about the first joint axis, and at which a second pivot axis is set in parallel to the pivot axis;
a third link member which is connected at its one end with an other end of the second link member, configured to be angularly displaced about the second joint axis, and includes a robot hand at an other end thereof for holding the substrate; and
a drive unit configured to drive each of the link members so that the link members are angularly displaced, individually, about each corresponding axis,
wherein the pivot axis is located nearer to the rear wall than to the front wall or nearer to the front wall than to the rear wall in the forward and backward directions, and
wherein a first link distance L1 defined as a distance from the pivot axis to an end of the first link member, which is farthest in a radial direction toward the first joint axis relative to the pivot axis, is set to exceed ½ of a length B in the forward and backward directions of the interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value (B−L0) to be obtained by subtracting a distance L0 set to be greater by a predetermined gap length q than a radius T2 of an outer circumference of the first link member about the pivot axis (L0=T2+q), from the length B in the forward and backward directions of the interface space (i.e., B/2<L1≤B−L0), and
the first link distance L1 is set to be equal to or less than an allowable length (B−L0−E) to be obtained by subtracting the distance L0 set to be greater by the predetermined gap length q than the radius T2 of an outer circumference of the first link member about the pivot axis and a length E of a robot invasion restricted region, which is set for the foup opener and is measured from the front wall in the forward and backward directions toward the rear wall, from the length B in the forward and backward directions of the interface space (i.e., L1≤B−L0−E).

For example, in the case where C=0, θ=0, and W=505 mm, each axis-to-axis distance L11, L12 is equal to or greater than 437.3 mm. Now, assume that the length E of the robot invasion restricted region in the forward and backward directions X, which is set for each FOUP opener 26 and is measured from the front wall 110 on the rear wall side, is 100 mm. In addition, assume that the distance L0 in the forward and backward directions from the rear wall 111 to the pivot axis A0 is 65 mm, and that a distance L10 (R−L11) to be obtained by subtracting the first axis-to-axis distance L11 from the minimum rotation radius R of the robot is 50 mm. The resultant length B in the forward and backward directions of the interface space is equal to or greater than 652.3 mm (i.e., B≥L11+E+L0+L10). In other words, if the length B in the forward and backward directions of the interface space is 652.3 mm, the wafer 24 contained in each of the first and fourth FOUPs 25a, 25d supported by each corresponding FOUP opener 26a, 26d can be taken out, by setting each axis-to-axis distance L11, L12 at 437.3 mm. Of course, the wafer 24 contained in each of the second and third FOUPs 25b, 25c, which are located nearer to the pivot axis A0 than the first and fourth FOUPs 25a, 25d, can also be taken out.

In this embodiment, the length B in the forward and backward directions of the interface space is 694 mm. The minimum rotation radius R of the robot is set at 485 mm, and the first axis-to-axis distance L11 and the second axis-to-axis distance L12 are each set at 425 mm. In the state wherein the wafer 24 is held by the robot hand 40, the distance H from the second joint axis A2 to the wafer central position A3 is set at 320 mm. In addition, the third link distance L3 is set at 470 mm.

For example, if θ=5°, H=330 mm, and the other conditions are the same as described above, each axis-to-axis L11, L12 to be obtained is equal to or greater than 420.4 mm, and the length B in the forward and backward directions of the interface space is to be equal to or greater than 635.4 mm. Alternatively, if C=10 mm, θ=5°, H=330 mm, and the other conditions are the same as described above, each axis-to-axis L11, L12 to be obtained is equal to or greater than 417.5 mm and the length B in the forward and backward directions of the interface space is to be equal to or greater than 632.5 mm.

By inclining the longitudinal direction of the third link member 41c relative to the forward and backward directions X in the state wherein the robot hand 40 reaches the wafer 24, the wafer contained in each FOUP 25a to 25d can be taken out without unduely extending the first link member 41a and the second link member 41b.

In the embodiment described above, due to the pivot axis A0 arranged near the rear wall 111 and due to the minimum rotation radius R of the robot arm 41, which is set to exceed ½ of the subtracted value (B−L0) and to be equal to or less than the subtracted value (B−L0), a gap can be securely provided between the robot arm 41, which is in the minimum transformed state, and the front wall 101, as such preventing interference of the robot arm 41 with the front wall 101. Accordingly, the robot hand 40 can be located, on both sides in the left and right directions Y, with respect to a reference line P0 extending in the forward and backward directions X and including the pivot axis A0.

In addition, since the robot arm 41 can be operated in an operational range excluding such a range that would potentially interfere with the rear wall 111, the interference of the robot with the rear wall 111 can also be prevented. Accordingly, while the length B in the forward and backward directions of the read space is relatively small, each wafer 24 contained in a plurality of, for example, four, FOUPs, i.e., the first to fourth FOUP 25a to 25d, supported by the four FOUP openers 26a to 26d, can be taken out, by using the robot arm 41 having the link structure comprising the three link members 41a to 41c.

In this embodiment, by setting the minimum rotation radius R of the robot to be equal to or less than the allowable length (B−L0−E), even though the robot arm 41 taking its minimum transferred state approaches nearest relative to the front wall 101, entering of a part of the robot arm 41 into the robot invasion restricted region E of each FOUP opener 26a to 26d can be prevented. Thus, interference between the robot arm 41 with each FOUP opener 26a to 26d can be prevented, regardless of a movable range or state of each FOUP opener 26a to 26d.

The first to third link distances L1 to L3 are set to exceed ½ of the allowable length (B−L0−E) and to be equal to or less than the allowable length (B−L0−E). As a result, the length of each link member 41a to 41c can be significantly enlarged. Therefore, even in the case where the length B in the forward and backward directions of the interface space is relatively small, the robot hand 40 can be extended to a position which is significantly spaced away from the pivot axis A0 on both sides in the left and right directions Y. Thus, even in the case where the number of the FOUP openers 26 is quite increased, the wafer 24 can be carried with the simple link structure as described above. In this embodiment, the first to third link distances L1 to L3 are each set to be the same as the allowable length (B−L0−E). Consequently, interference of the robot arm 41 with the front wall 110 as well as with each FOUP opener 26 can be prevented, and the length of each link member 41a to 41c can be increased to the maximum.

With the increase of the link length of each link member 41a to 41c of the robot arm 41, the movable range of the robot arm 41 can be enlarged with respect to the left and right directions Y. Accordingly, as compared with the second related art, the running means which is adapted to drive the robot 27 to run in the left and right directions Y can be excluded, thus eliminating the direct acting mechanism. As such, occurrence of dust to be associated with the direct acting mechanism can be prevented, and hence degradation of cleanliness in the interface space 29 due to such dust can be avoided. Additionally, the elimination of the running means can ensure downsizing and weight reduction of the robot 27.

In addition, with the increase of the link length of each link member 41a to 41c of the robot arm 41, the robot hand can reach a predetermined position in a wider range. Furthermore, increase of the number of the link members can be controlled, as such simplifying the structure of the robot 27. In addition, redundancy of the robot 27 can be reduced, thus simplifying teaching works concerning control and transformed states for the robot arm 41. Therefore, possibility of collision of the robot arm 41 with the interface space forming portion 28 as well as with each FOUP opener 26 can be reduced.

As described above, in this embodiment, scattering of dust can be suppressed due to exclusion of the running means, as well as, the interference of the robot with the interior of the wafer transfer apparatus 23 can be prevented, as such providing the wafer transfer apparatus 23 comprising the wafer transfer robot 23 which has a significantly simplified structure and can be readily controlled. In addition, the number of the FOUP openers 26 can be increased without enlarging the length B in the forward and backward directions of the interface space 29. With the increase of the number of the FOUP openers 26, carrying, attaching and detaching operations of each FOUP 25 relative to the wafer transfer apparatus 23 and a transfer operation of each wafer contained in each FOUP 25 held by the wafer transfer apparatus 23 can be performed in parallel, thereby to enhance the working efficiency.

Because the length B in the forward and backward directions of the interface space 29 can be reduced, a space for installment of the wafer transfer apparatus 23 can be downsized. Therefore, restrictions regarding the installment space can be lightened, thus in turn facilitating installment of the wafer processing equipment 20. With reduction of the length B in the forward and backward directions of the interface space 29, as compared with a case in which the length B in the forward and backward directions of the interface space 29 is longer, the cleanliness in the interface space 29 can be enhanced as well as the yield can be improved, by using the interface space controller 100 provided with the same function.

In this embodiment, the length B in the forward and backward directions of the interface space can be reduced by designing the robot hand 40 such that the longitudinal direction of the third link member 41c can be inclined relative to the forward and backward directions X in the state wherein the robot hand 40 reaches the corresponding wafer 24. Thus, even in the case where the first and second axis-to-axis distances L11, L12 are set to be shorter in order to prevent interference of the robot hand 40 with the interface space forming portion 28 and/or each FOUP opener 26, holding of the wafer 24, which is held by the FOUP 25 supported by each corresponding FOUP opener, can be performed with ease.

Since the length of each link member 41a to 41c can be increased, as compared with a case in which the length of each link member 41a to 41c is shorter, a transfer speed of the robot hand can be enhanced, even with the angular speed upon angular displacement about the corresponding pivot axes A0 to A2 being the same. By driving both of the first link member 41a and second link member 41b, force of inertia can be reduced. Due to this function, the transfer speed of the robot hand 40 can also be enhanced. With such enhancement of the transfer speed of the robot hand 40, the time required for carrying each wafer 24 can be reduced, thereby to enhance the working efficiency.

FIG. 4 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 contained in the first FOUP 25a to the aligner 56. The carrying operation proceeds in the order of from FIG. 4(1) to FIG. 4(7). The carrying operation shown in FIG. 4 is stored in the controller 44, with respect to the transfer route and passing through points of the robot hand 40. The controller 44 serves to control the horizontal drive means 42a and the vertical drive means 42b by executing a predetermined operational program, such that the robot hand 40 passes through a plurality of points along the transfer route. Consequently, the wafer transfer robot 27 can carry each wafer 24 contained in the first FOUP 25a to the aligner 56.

First, the robot arm 41 is moved vertically up to the wafer 24 to be held, and then transformed such that the first link member 41a and the second link member 41b are extended in a straight line, as shown in FIG. 4(1), so as to hold the wafer 24 contained in the first FOUP 25a by using the hand 40. Next, as shown in FIG. 4(2), the first link member 41a and the second link member 41b are angularly displaced about the corresponding angular displacement axes A0, A1, respectively, so as to move the third link member 41c in the backward direction X2 into the interface space 29 together with the wafer 24.

Subsequently, the first link member 41a and the second link member 41b are further angularly displaced about the corresponding angular displacement axes A0, A1, respectively, so as to move the third link member 41c in parallel to the left and right directions Y, toward the aligner 56 located in a position far away from the first FOUP opener 26a in the left and right directions Y. At this time, because the first axis-to-axis distance L11 and the second axis-to-axis distance L12 are set to be equal, as shown in FIGS. 4(3) and 4(4), the second link member 41b is angularly displaced about the first joint axis A1, in an amount of angular displacement per unit time, which is twice the amount of angular displacement per unit time, relative to the angular displacement of the first link member 41a about the pivot axis A0. In this manner, the third link member 41c can be moved in parallel to the left and right directions Y, without angularly displacing the third link member 41c about the second joint axis A2, and without altering the attitude of the third link member 41c.

In the case of locating the third link member 41c on the aligner 56 with its attitude altered, as shown in FIGS. 4(5) to 4(7), the wafer 24 can be located in a holding position set in the aligner 56, by angularly displacing the first to third link members 41a to 41c about the corresponding angular displacement axes A0 to A2, respectively. In order to enable the aligner 56 to hold the wafer 24, after the robot arm 41 has held the wafer 24 and by the time it carries the wafer 24 to the aligner 56 so as to make the aligner 56 hold the wafer 24, the position in the upward and downward directions of the robot arm 41 is adjusted by the vertical drive means 42b. In this manner, the wafer transfer robot 27 can carry the wafer 24, which has been contained in the first FOUP 25a, to the aligner 56.

FIG. 5 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 supported by the aligner 56 into the processing space 30. The carrying operation proceeds in the order of from FIG. 5(1) to FIG. 5(7). Similar to the case shown in FIG. 4, the wafer transfer robot 27 can carry the wafer 24 held by the aligner 56 into the processing space 30, by controlling the horizontal drive means 42a and the vertical drive means 42b in accordance with the predetermined program.

In the case of carrying the wafer 24 into the processing space 30, the hand 40 should be directed in the backward direction X2. Accordingly, as shown in FIG. 5(1), from a state wherein the second joint axis A2 has been moved in the backward direction X2 in the interface space 29 while the third link member 41c holding the wafer 24, the third link member 41c is angularly displaced about the second joint axis A2 as well as the second joint axis A2 is moved in the forward direction X1 in the interface space 29. In the example shown in FIG. 5, after the third link member 41c has been angularly displaced by about 120 degrees, the second joint axis A2 is moved in the forward direction X1 in the interface space 29, and the third link member 41c is then further angularly displaced.

Thus, the orientation of the third link member 41a can be altered by 180 degrees in the interface space 29 without any interference of the third link member 41a with the front wall 110, rear wall 111 and each FOUP opener 26. Accordingly, as shown in FIGS. 5(2) to 5(6), after the orientation of the third link member 41c has been altered, as shown in FIG. 5(7), the wafer 24 can be carried into the processing space 30. In order to enable the robot arm 41 to be moved into the processing space 30 after it has held the wafer 24 and by the time it is moved toward the processing space 30, the position in the upward and downward directions of the robot arm 41 is controlled by the vertical drive means 42b. In this way, the wafer transfer robot 27 can carry the wafer 24, which has been held by the aligner 56, into the processing space 30.

FIG. 6 is a diagram showing a carrying operation, which is simplified, for carrying the wafer 24 located in the processing space 30 to the first FOUP 25a. Similar to the case shown in FIG. 4, the controller controls the horizontal drive means 42a and the vertical drive means 42b in accordance with the predetermined program so that the wafer transfer robot 27 can carry the wafer 24 contained in the processing space 30 to the first FOUP 25a.

First, the robot arm 41 is moved upward and downward to a position of the wafer 24 to be held as well as the robot arm 41 is transformed, as shown in FIG. 6(1), so as to hold the wafer 24 in the processing space 30. Subsequently, as shown in FIG. 6(2), the first link member 41a and the second link member 41b are angularly displaced about the corresponding angular displacement axes A0, A1, respectively, and the third link member 41c is moved in the forward direction X1, so as to move the third link member 41c and the wafer 24 into the interior of the interface space 29. Thereafter, as shown in FIGS. 6(3) and 6(4), while the position of the second joint axis A2 is adjusted in order to prevent interference due to the third link member 41c, the third link member 41c is rotated about the second joint axis A2 to alter its attitude, thus changing the orientation of the third link member 41c. Next, as shown in FIGS. 6(4) and 6(5), the first link member 41a and the second link member 41b are angularly displaced about the corresponding angular displacement axes A0, A1, respectively, so as to move the third link member 41c in parallel to the left and right directions Y. Thereafter, as shown in FIG. 6(6), a portion on the robot hand side of the third link member 41c is positioned to face the front opening as well as maintained in an attitude which is substantially parallel to the forward and backward directions X. In this state, the position of the hand 40 in the upward and backward directions is adjusted to enable the wafer to be contained in the FOUP. As such, the wafer is contained in the space in the FOUP 25 as shown in FIG. 6(7).

FIG. 7 is a diagram showing a state in which the wafer 24 is located in its receiving and transferring positions of the embodiment according to the present invention. FIGS. 7(1) to 7(4) depict states wherein the wafers 24 contained in the first to fourth FOUPs 25a to 25d are held, respectively. FIG. 7(5) shows a state in which the wafer 24 is located at the aligner 56. FIGS. 7(6) and 7(7) show states wherein the wafer 24 is located in positions set in the processing space 30, respectively. As illustrated, this embodiment can be configured to include the robot arm having the three-link type structure so as to enable receiving and transferring of the wafers 24 in the FOUPs 25 supported by the four FOUP openers 26a to 26d, respectively.

While, this embodiment comprises the single third link member 41c provided in the robot hand 40, it is not limited to this aspect. Namely, in the present invention, it is also contemplated that a plurality of, for example, two, third link members 41c may be provided.

For example, in the case where a plurality of third link members 41c are provided, these third link members 41c are provided to be arranged in the upward and downward directions Z, respectively. Each third link member 41c is connected, at its one end 45c in the longitudinal direction, with the other end 46b in the longitudinal direction of the second link member 41b. Each third link member 41c is configured such that it can be angularly displaced, individually, about the second joint axis A2 relative to the second link member 41b. In addition, each third link member 41c is provided with the robot hand 40 formed at the other end thereof in the longitudinal direction. Due to arrangement of each third link member 41c in a region different in the upward and downward directions, even though they are angularly displaced, individually, about the second joint axis A2, mutual interference between the third link members 41c can be prevented. In addition, due to such provision of the plurality of third link members 41c, the number of sheets of the wafers that can be carried at a time can be increased, as such enhancing the working efficiency. It should be appreciated that the number of the third link members is not limited to one or two but three or more third link members 41c may be provided. It is preferred that each third link member 41c is formed to have the same shape.

FIG. 8 is a plan view showing the wafer transfer apparatus 23 including three FOUP openers 26. FIG. 9 is a plan view showing the wafer transfer apparatus 23 including two FOUP openers 26. In FIGS. 8 and 9, one example of additional working forms of a robot 27 is depicted by chain double-dashed lines. The wafer transfer robot 27 shown in FIGS. 8 and 9 is configured similarly to the wafer transfer robot 27 used in the wafer transfer apparatus 23 including the four FOUP openers 26. Accordingly, the wafer transfer robot 27 can carry each wafer without causing any interference with the front wall 110 and the rear wall 111, also in the case of including the two or three FOUP openers 26. As such, there is no need for changing the configuration of the robot depending on the number of the FOUP openers 26, thereby to enhance applicability for general purposes.

FIG. 10 is a plan view showing a wafer transfer apparatus 23A which is a second embodiment of the present invention, and is somewhat simplified. The wafer transfer apparatus 23A of the second embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus, such like parts are not described here, and designated by like reference numerals. Specifically, the wafer transfer apparatus 23A of the second embodiment is different from the first embodiment in the length of the wafer transfer robot 27, but is the same as the first embodiment in regard to the other configuration.

The first embodiment is configured such that the robot hand 40 reaches the wafer 24 contained in the first FOUP 25a with the first link member 41a and the second link 41b extended together in a straight line. However, the present invention is not limited to this aspect. Namely, in the second embodiment, the robot hand 40 reaches the wafer 24 contained in the first FOUP 25a with the longitudinal direction of the link member 41a and the longitudinal direction of the second link member 41b defining a predetermined angle α.

In the second embodiment, angular positions of the first link member 41a and the second link member 41b are respectively set such that the robot hand 40 reaches the wafer 24, with the longitudinal direction of the third link member 41c being coincident with the forward and backward directions X. Namely, in the second embodiment, the hand 40 reaches the wafer 24, with the longitudinal direction of the third link member 41c being coincident with the forward and backward directions X, and the third link member 41c is then moved in parallel to the backward direction X2, so as to carry the wafer 24 into the interface space 29. Thus, even in the case where a gap between the wafer held by the hand 40 and the front opening 101a as well as the opening 60a of the FOUP main body 60 is relatively small, collision of the wafer 24 with each opening 101a, 60a can be prevented.

Also in the second embodiment, by locating the pivot axis A0 near the rear wall 111 and by setting the minimum rotation radius R of the robot arm 41 to exceed ½ of the subtracted value (B−L0) described above and to be equal to or less than the subtracted value (B−L0), the same effect as in the first embodiment can be obtained.

FIG. 11 is a plan view showing a wafer transfer apparatus 23B which is a third embodiment of the present invention, and is somewhat simplified. In FIG. 11, one example of additional working forms of a robot 27 is depicted by chain double-dashed lines. The wafer transfer apparatus 23B of the third embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus such like parts are not described here, and designated by like reference numerals. Specifically, the wafer transfer apparatus 23B of the third embodiment is different from the first embodiment in the length of the wafer transfer robot 27, but is the same as the first embodiment in regard to the other configuration.

In the first embodiment, the first axis-to-axis distance L11 and the second axis-to-axis distance L12 are of the same length. However, this invention is not limited to this aspect. In the third embodiment, there is some difference in the length between the first axis-to-axis distance L11 and the second axis-to-axis distance L12, and the first axis-to-axis distance L11 is provided to be slightly longer than the second axis-to-axis distance L12. In this case, as shown in FIG. 11, when angularly displacing the second link member 41b about the first joint axis A1, in an amount of angular displacement per unit time, which is twice the amount of angular displacement per unit time, relative to the angular displacement of the first link member 41a about the pivot axis A0 while the angular displacement of the third link member 41c about the second joint axis A2 is stopped, the attitude of the third link member 41c is changed slightly.

When the robot hand 40 is advanced from one end to the other end in the left and right directions Y relative to the pivot axis A0, transfer tracks 130, 131 of the central position A3 of the wafer 24 held by the hand 40 and the second joint axis A2 depict circular arcs both being convex in the forward direction X, respectively. In FIG. 11, in order to facilitate understanding, the transfer tracks 130, 131 of the central position A3 and the second joint axis A2 are respectively depicted by dashed lines, while corresponding imaginary lines 132, 133 extending in parallel with the left and right directions Y are respectively expressed by chain lines.

In this case, when the difference in the length between the first axis-to-axis distance L11 and the second axis-to-axis distance L12 is quite small, the third link member 41c can be moved in substantially parallel to the left and right directions Y. In such a manner, the first axis-to-axis distance L11 and the second axis-to-axis distance L12 may be provided with slight alteration. For example, an acceptable difference in the length between the first axis-to-axis distance L1 and the second axis-to-axis distance L12 may be set within (B−L0−E−L1) mm.

Also in the third embodiment described above, by locating the pivot axis A0 near the rear wall 111 and by setting the minimum rotation radius R of the robot arm 41 to exceed ½ of the subtracted value (B−L0) described above and to be equal to or less than the subtracted value (B−L0), the same effect as in the first embodiment can be obtained. The length of each link member 41a to 41c of the robot arm 41 and each axis-to-axis distance L11, L12 of the first to third embodiments are described by way of example, and hence may be altered. For example, the first link distance L1, second link distance L2 and third link distance L3 may not necessarily be the same.

FIG. 12 is a plan view showing a part of semiconductor processing equipment 20C which is a fourth embodiment of the present invention. The semiconductor processing equipment 20C of the fourth embodiment includes portions similar to those in the wafer transfer apparatus 23 of the first embodiment described above. Thus such like parts are not described here, and designated by like reference numerals. In the semiconductor processing equipment 20c of the fourth embodiment, the wafer transfer robot 27 of the wafer transfer apparatus 23 also serves as a carrier provided in the wafer processing apparatus 22. In regard to the other configuration, the semiconductor processing equipment 20c is the same as the first embodiment. As such, descriptions on that point are omitted here.

In the first embodiment, the carrier included in the wafer processing apparatus 22 receives the wafer 24 to be carried into the processing space 30 from the interface space 29 by the wafer transfer apparatus 23, and then carries the received wafer 24 into the wafer processing position. On the other hand, in the fourth embodiment, since the wafer transfer robot 27 of the wafer transfer apparatus 23 can extend its operational region as shown in FIG. 12, it can transfer the wafer not only in the wafer transfer apparatus 23, but can also be advanced into the processing space 30 of the wafer processing apparatus 22 so as to directly transfer the wafer 24 to the wafer processing position. Accordingly, there is no need for a carrier in the wafer processing apparatus 22, thus reducing the number of elements in the wafer processing equipment, thereby reducing the production cost.

In the fourth embodiment, it is preferred that the rear opening 121 is provided in the vicinity of the pivot axis A0 with respect to the left and right directions Y. It is also preferred that the rear opening 121 is formed to have a space extending longer than a distance between a first crossing point P1 that is one of two crossing points, at which an imaginary circle defined to make a circuit around the pivot axis A0, with its radius being the minimum rotation radius R of the robot 27, crosses the rear-face-side wall 111 and a second point P2, at which a line passing through the pivot axis A0 and extending in the forward and backward directions X crosses the rear-face-side wall 111, as such the space is shaped to include both of the first crossing point P1 and the second crossing point P2. Consequently, in the case of angularly displacing the first link member 41a about the pivot axis A0, interference of the first link member 41a with the rear-face-side wall 111 can be prevented. Thus, the first joint axis A1 set in the first link member 41a can be located also in the processing space 30. Accordingly, the wafer 24 can be transferred to a position away from the rear wall 111 in the backward direction X2 in the processing space 30.

Each of the embodiments 1 to 4 described above is illustrated by way of example, and of course may be modified within the scope of this invention. For example, while in these embodiments, the wafer transfer apparatus 23 used in the wafer processing equipment 20 has been described, a processing transfer apparatus for use in semiconductor processing equipment for processing substrates other than semiconductor wafers may also be included in the scope of the present invention. In this case, the substrate transfer apparatus can be generally applied to those configured to transfer each substrate from a substrate container to a substrate processing apparatus through an interface space in which an atmospheric gas is properly controlled, as well as carry the substrate from the substrate processing apparatus to the substrate container through the interface space. For example, as the substrate, semiconductor substrates and glass substrates may be mentioned. While the wafer has been described on the assumption that has a 300 mm size, the robot arm may be modified to have other link sizes in order to be applied to wafers of other sizes.

In each of the embodiments described above, while the wafer transfer apparatus 23 includes the aligner 56, it may includes another processing device than the aligner 56. This processing device is adapted to hold each wafer in the interface space 29 and perform predetermined processes and operations. For example, as the processing device, a buffer member adapted to hold each wafer 24 in the interface space 29 or an inspection device adapted to hold the wafer in the interface space 29 and inspect it about quality and presence of defects. It should be noted that the wafer transfer apparatus 23 not including the processing device, such as the aligner 56, may also be included in the scope of the present invention.

In the case where it is necessary to transfer each wafer 24 over a wider region in the left and right directions in order to carry the wafer to the processing device even though only three or less FOUP openers are used, the application of this invention enables advantageous wafer transfer, even with the length B in the left and right directions of the interface space being significantly small. In this case, each position arranged in the left and right directions relative to the pivot axis A0 is determined appropriately, depending on positions of respective objects to be moved in the left and right directions. In place of using the FOUP openers, substrate container setting tables may be provided for setting substrate containers.

In this embodiment, while the first link member 41a has been described to be able to angularly displace by 90° in one and the other directions about the pivot axis A0 relative to the reference line P0 passing through the pivot axis A0 and extending in the forward and backward directions X, the operation of the first link member 41a is not limited to this mode. Additionally, in this embodiment, while the expressions of the forward and backward directions X, left and right directions Y and upward and downward directions Z have been used, for example, first directions, second directions and third directions or the like, which are orthogonal to one another, may be employed as alternatives.

Although the invention has been described in its preferred embodiments with a certain degree of particularity, obviously many changes and variations are possible therein. It is therefore to be understood that the present invention may be practiced otherwise than as specifically described herein without departing from the scope and spirit thereof.

Hashimoto, Yasuhiko

Patent Priority Assignee Title
Patent Priority Assignee Title
5611655, Apr 23 1993 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
5695564, Aug 19 1994 Tokyo Electron Limited Semiconductor processing system
6669434, Nov 17 2000 Tazmo Co., Ltd. Double arm substrate transport unit
7059817, Nov 29 2001 Axcelis Technologies, Inc Wafer handling apparatus and method
20020192057,
20030031537,
20030179120,
20030202865,
20040013497,
20040152322,
20040191028,
20050011294,
20050063800,
20050095089,
20050096794,
20050158153,
20050220576,
20060015279,
20060045668,
20060104750,
20060182532,
GB2193482,
JP11033949,
JP11157609,
JP1174328,
JP2000133690,
JP2000141272,
JP2002280437,
JP2002299413,
JP2002359273,
JP2002522238,
JP2003025262,
JP2003170384,
JP2003179120,
JP2003188231,
JP200345933,
JP2004106078,
JP2004148447,
JP2004160613,
JP2004200669,
JP2004502558,
JP2005039047,
JP2006073834,
JP2006245508,
JP63272474,
JP6342142,
JP7122618,
JP8111449,
JP9216180,
KR1020050008523,
RE45772, Jul 20 2006 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus
RE46465, Jul 20 2006 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus
RE47145, Jul 20 2006 Kawasaki Jukogyo Kabushiki Kaisha Wafer transfer apparatus and substrate transfer apparatus
WO7780,
WO2004024401,
WO2004043653,
WO2007008702,
WO9960610,
WO101454,
WO204176,
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Oct 01 2021Kawasaki Jukogyo Kabushiki Kaisha(assignment on the face of the patent)
Date Maintenance Fee Events
Oct 01 2021BIG: Entity status set to Undiscounted (note the period is included in the code).


Date Maintenance Schedule
Sep 26 20264 years fee payment window open
Mar 26 20276 months grace period start (w surcharge)
Sep 26 2027patent expiry (for year 4)
Sep 26 20292 years to revive unintentionally abandoned end. (for year 4)
Sep 26 20308 years fee payment window open
Mar 26 20316 months grace period start (w surcharge)
Sep 26 2031patent expiry (for year 8)
Sep 26 20332 years to revive unintentionally abandoned end. (for year 8)
Sep 26 203412 years fee payment window open
Mar 26 20356 months grace period start (w surcharge)
Sep 26 2035patent expiry (for year 12)
Sep 26 20372 years to revive unintentionally abandoned end. (for year 12)