Disclosed are overlay targets having flexible symmetry characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets. Techniques for imaging targets with flexible symmetry characteristics and analyzing the acquired images to determine overlay or alignment error are disclosed.

Patent
   RE45245
Priority
Aug 30 2000
Filed
May 01 2013
Issued
Nov 18 2014
Expiry
Jun 27 2021
Assg.orig
Entity
Large
3
255
EXPIRED
1. A semiconductor target for determining a relative shift between two or more successive layers of a substrate, the target comprising:
a plurality of first structures formed in a first layer, and the first structures having a first center of symmetry (cos), the first structures being aperiodic; and
a plurality of second structures formed in a second layer, and the second structures having a second cos, the second structures being aperiodic,
wherein the difference between the first cos and the second cos corresponds to an overlay error between the first and second layer and wherein the first and second structures have a 180° rotational symmetry, without having a 90° rotational symmetry, with respect to the first and second cos, respectively.
2. The target of claim 1, wherein the first and second structures are in the form of device structures.
3. The target of claim 1, wherein the first structures include a first set of sub-structures that each has a first shape and a second set of sub-structures that each has a second shape that differs from the first shape.
4. The target of claim 3, wherein the second structures include a third set of sub-structures that each has a third shape and a fourth set of sub-structures that each has a fourth shape that differs from the third shape.
5. The target of claim 4, wherein the first, second, third, and fourth shapes differ from each other.
6. The target of claim 1, wherein the first and second structures are image-based overlay targets.
7. The target of claim 1, wherein a difference between the first cos and the second cos that is greater than a known offset between the first and second cos corresponds to an overlay error between the first and second layer.
8. The target of claim 1, further comprising an opaque layer deposited over the first or second structures.
9. The target of claim 1, wherein the first or second layer is a dummy layer.
10. A wafer having the target of claim 1 and a plurality of dies, wherein the target is formed in a scribe line located between at least some of the dies.
11. A wafer having the target of claim 1 and a plurality of dies, wherein the target is formed within a one of the dies.

This application is a reissue of application Ser. No. 12/410,317, which claims priority and is a Divisional application of copending application Ser. No. 11/227,764, entitled “APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY”, filed 14 Sep. 2005 by Mark Ghinovker, now U.S. Pat. No. 7,541,201, issued on 2 Jun. 2009, which application claims priority of (a) and is a Continuation-in-part application of application Ser. No. 09/894,987, filed on Jun. 27, 2001, now U.S. Pat. No. 7,068,833, issued on 27 Jun. 2006, which claims priority of Application No. 60/229,256, filed 30 Aug. 2000 and (b) U.S. Provisional Patent Application No. 60/698,535, entitled “APPARATUS AND METHODS FOR DETERMINING OVERLAY STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY”, filed 11 Jul. 2005 by Mark Ghinovker, and (c) is a Continuation-in-part application of application Ser. No. 10/729,838, filed on Dec. 5, 2003, now U.S. Pat. No. 7,317,531, issued on 8 Jan. 2008, which application claims priority of (i) Application No. 60/440,970, filed 17 Jan. 2003, (ii) Application No. 60/449,496, filed 22 Feb. 2003, (iii) Application No. 60/431,314, filed 5 Dec. 2002, (iv) Application No. 60/504,093, filed 19 Sep. 2003, and (v) Application No. 60/498,524, filed 27 Aug. 2003. These applications and patents are incorporated herein by reference in their entirety for all purposes. Application Ser. No. 12/410,317 also is a Continuation-In-Part application and claims priority of application Ser. No. 11,926,603, filed on 29 Oct. 2007, now U.S. Pat. No. 7,564,557, issued on 21 Jul. 2009, which is a Divisional application and claims priority of application Ser. No. 10/785,732, filed on 23 Feb. 2004, now U.S. Pat. No. 7,289,213, issued on 30 Oct. 2007, which is a Continuation-In-Part application and claims priority of application Ser. No. 10/729,838, filed on 5 Dec. 2003, now U.S. Pat. No. 7,317,531, issued on 8 Jan. 2008, which claims priority of application Ser. No. 60/431,314, filed on 5 Dec. 2002, application Ser. No. 60/440,970, filed on 17 Jan. 2003, application Ser. No. 60/504,093, filed on 19 Sep. 2003, application Ser. No. 60/449,496, filed 22 Feb. 2003, and application Ser. No. 60/498,524, filed on 27 Aug. 2003.

The present invention relates generally to overlay measurement techniques, which are used in semiconductor manufacturing processes. More specifically, the present invention relates to techniques for measuring alignment error between different layers or different patterns on the same layer of a semiconductor wafer stack.

The measurement of overlay error between successive patterned layers on a wafer is one of the most critical process control techniques used in the manufacturing of integrated circuits and devices. Overlay accuracy generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second patterned layer disposed above or below it and to the determination of how accurately a first pattern aligns with respect to a second pattern disposed on the same layer. Presently, overlay measurements are performed via test patterns that are printed together with layers of the wafer. The images of these test patterns are captured via an imaging tool and an analysis algorithm is used to calculate the relative displacement of the patterns from the captured images.

The most commonly used overlay target pattern is the “Box-in-Box” target, which includes a pair of concentric squares (or boxes) that are formed on successive layers of the wafer. The overlay error is generally determined by comparing the position of one square relative to another square.

To facilitate discussion, FIG. 1 is a top view of a typical “Box-in-Box” target 10. As shown, the target 10 includes an inner box 12 disposed within an open-centered outer box 14. The inner box 12 is printed on the top layer of the wafer while the outer box 14 is printed on the layer directly below the top layer of the wafer. As is generally well known, the overlay error between the two boxes, along the x-axis for example, is determined by calculating the locations of the edges of lines c1 and c2 of the outer box 14, and the edge locations of the lines c3 and c4 of the inner box 12, and then comparing the average separation between lines c1 and c3 with the average separation between lines c2 and c4. Half of the difference between the average separations c1&c3 and c2&c4 is the overlay error (along the x-axis). Thus, if the average spacing between lines c1 and c3 is the same as the average spacing between lines c2 and c4, the corresponding overlay error tends to be zero. Although not described, the overlay error between the two boxes along the y-axis may also be determined using the above technique.

This type of target has a same center of symmetry (COS) for both the x and y structures, as well as for the first and second layer structures. When the target structures are rotated 180° about their COS, they maintain a same appearance. Conventionally, it has been a requirement that both the x and y structures and both the first and second layer structures have a same COS. However, these requirements may be too restrictive under certain conditions. For example, space may be limited on the wafer and a target having x and y structures (or first and second layer structures) with the same COS may not fit into the available space. Additionally, it may be desirable to use device structures for determining overlay, and device structures are not likely to meet this strict requirement.

Although this conventional overlay design has worked well, there are continuing efforts to provide improved techniques for determining or predicting overlay in device structures. For example, targets or device structures that have more flexible symmetry characteristics, as well as techniques for determining overlay with such structures, are needed.

In general, overlay targets having flexible symmetry characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets or a shift between two sets of structures on the same layer are provided. In one embodiment, a target includes structures for measuring overlay error (or a shift) in both the x and y direction, wherein the x structures have a different center of symmetry (COS) than the y structures. In another embodiment, one of the x and y structures is invariant with a 180° rotation and the other one of the x and y structures has a mirror symmetry. In one aspect, the x and y structures together are variant with a 180° rotation. In yet another example, a target for measuring overlay in the x and/or y direction includes structures on a first layer having a 180 symmetry and structures on a second layer having mirror symmetry. In another embodiment, a target for determining overlay in the x and/or y direction includes structures on a first layer and structures on a second layer, wherein the structures on the first layer have a COS that is offset by a known amount from the COS of the structures on the second layer. In a specific implementation, any of the disclosed target embodiments may take the form of device structures. In a use case, device structures that have an inherent 180° rotational symmetry or a mirror symmetry in each of the first and second layers are used to measure overlay in a first layer and a second layer. Techniques for imaging targets with flexible symmetry characteristics and analyzing the acquired images to determine overlay or alignment error are disclosed.

In one embodiment, a semiconductor target for determining a relative shift between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate is disclosed. This target includes a plurality of first structures having a first center of symmetry (COS) or first line of symmetry (LOS) and being arranged to determine the relative shift in an x direction by analyzing an image of the first structures. This target further includes a plurality of second structures having a second COS or second LOS and being arranged to determine the relative shift in an x direction by analyzing an image of the second structures. The first COS or LOS has a different location than the second COS or LOS.

In a further aspect, the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS, and the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS. In another aspect, the first and second structures are in the form of device structures. In a further embodiment, a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS. In yet a further implementation, the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.

In an alternative embodiment, a semiconductor target for determining an overlay error between two or more successive layers of a substrate is disclosed. This target comprises a plurality of first structures formed in a first semiconductor layer and having a first center of symmetry or first line of symmetry (LOS) and a plurality of second structures formed in a second semiconductor layer and having a second COS OR LOS. The first COS OR LOS is designed to have a known offset from the second COS or LOS so that the overlay error can be determined by acquiring an image of the first and second structures and then analyzing a shift between the first and second COS's or LOS's in the image and comparing the shift to the known offset.

In a specific implementation, the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS, and the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS. In yet a further aspect, the first and second structures are in the form of device structures. In another implantation, a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS. In a further implementation, the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.

In another embodiment, the invention pertains to a method for determining the relative shift between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate. A first image is acquired of a plurality of first structures having a first center of symmetry (COS) or first line of symmetry (LOS) and being arranged to determine the relative shift in an x direction by analyzing an image of the first structures. A first image is acquired of a plurality of second structures having a second COS or second LOS and being arranged to determine the relative shift in an x direction by analyzing an image of the second structures. The first COS or LOS has a different location than the second COS or LOS. The first image of the first structures' COS is analyzed to determine whether the first structures have a shift in the x direction that is out of specification, and the second image of the second structures' COS is analyzed determine whether the second structures have a shift in the y direction that is out of specification.

In a specific aspect, the first and second images are acquired together in a same field of view. In another aspect, analyzing the first image comprises (i) when it is determined that the first structures fail to have a 180 rotational or mirror symmetry, determining that the first structures are out of specification; and (ii) when it is determined that the second structures fail to have a 180 rotational or mirror symmetry, determining that the second structures are out of specification. In another feature, analyzing the first image and analyzing the second image each includes (i) using outside edges of each region of interest of the first or second image to determine a COS or LOS for a first set of substructures and a COS or LOS for a second set of substructures, and (ii) when the COS or LOS of the first set of substructures differs from the COS or LOS of the second set of substructures by more than a predetermined amount, determining that the corresponding structures are out of specification. In a further aspect, the first set of substructures are formed from a first layer and the second set of substructures are formed from a second layer.

In yet another implementation, analyzing the first image and analyzing the second image each includes (i) for a first set of substructures, selecting an initial COS or LOS between a plurality of regions of interest, (ii) for the first set of substructures, automatically placing its 180 degree or mirror counterpart based on the initial COS or LOS, respectively, for each of the first and second images, (iii), for the first set of substructures, continuing to move the initial COS or LOS until a best correlation is found between the first substructures and their counterpart, (iv) repeating operations (i) through (iii) for a second set of substructures, (v) when a best correlation is found for both the first and second substructures and when the COS or LOS of the first set of substructures differs from the COS or LOS of the second set of substructures by more than a predetermined amount, determining that the corresponding first structures are out of specification. In a further aspect, the first set of substructures are formed from a first layer and the second set of substructures are formed from a second layer.

In a further method embodiment, the overlay error between two or more successive layers of a substrate is determined. An image is acquired of a plurality of first structures formed in a first semiconductor layer and having a first center of symmetry (COS) or line of symmetry (LOS) and a plurality of second structures formed in a second semiconductor layer and having a second COS or LOS. The first COS or LOS is designed to have a known offset from the second COS or LOS so that the overlay error can be determined by acquiring an image of the first and second structures and then analyzing a shift between the first and second COS's or LOS's in the image and comparing the shift to the known offset. The image of the first and second structures' COS or LOS is analyzed to determine whether there is an overlay error between the first and second structures that is out of specification.

In a specific implementation, the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS, and the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS. In another implementation, the first and second structures are in the form of device structures. In another embodiment, a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS. In another aspect, the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.

These and other features and advantages of the present invention will be presented in more detail in the following specification of the invention and the accompanying figures which illustrate by way of example the principles of the invention.

The present invention is illustrated by way of example, and not by way of limitation.

FIG. 1 is a top plan view of a box-in-box type overlay mark.

FIG. 2 is a top plan view of overlay targets for measuring overlay error between two different process layers in both an x and y direction in accordance with one embodiment of the present invention.

FIG. 3 is a diagrammatic top view an overlay target, wherein one of its x and y direction structures has a 180° rotational symmetry while the other of its x and y direction structures has a mirror symmetry, in accordance with an alternative embodiment of the present invention.

FIG. 4A is a diagrammatic top view of an overlay target in accordance with a specific implementation of the present invention.

FIG. 4B is a diagrammatic top view of an overlay target in accordance with an alternative implementation of the present invention.

FIGS. 4C through 4E together illustrate a technique for forming combination dummy and overlay structures, as well as example structures, in accordance with specific implementations of the present invention.

FIG. 5 is a flowchart illustrating a procedure for inspecting targets in accordance with techniques of the present invention.

FIG. 6 is a flowchart illustrating the operation of FIG. 5 for determining whether a target is out of specification in accordance with a specific implementation of the present invention.

Reference will now be made in detail to a specific embodiment of the invention. An example of this embodiment is illustrated in the accompanying drawings. While the invention will be described in conjunction with this specific embodiment, it will be understood that it is not intended to limit the invention to one embodiment. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.

In general, the present invention provides semiconductor targets for determining an overlay error between two process layers or a shift between two sets of structures on the same layer, where the target structures are designed with a known relationship between their symmetry characteristics. Although the following target examples are shown to have structures on two layers for measuring overlay, it is readily apparent that each target may include two sets of structures on the same layer for determining a shift error between such set of structures.

FIG. 2 is a top plan view of overlay targets for measuring overlay error between two different process layers in both an x and y direction in accordance with one embodiment of the present invention. As shown, a first target 202 is arranged for measuring an overlay error between a set of first structures 206 in a first layer and a set of second structures 208 in a second layer with respect to an x direction. A second target 204 is arranged for measuring an overlay error between a set of first structures 212 in a first layer and a set of second structures 214 in a second layer with respect to a y direction.

In this embodiment, each of the x and y targets are designed so that its first structures have a same 180° rotational center of symmetry as its second structures although the x direction target 202 is designed to have a center of symmetry (COS) 210 that has a different location than the y direction target 204 COS 214. For example, the x direction target 202 has first structures that are divided into two groups 206a and 206b that are positioned with respect to each other so that if they were rotated 180° about a center of symmetry 210, the first structures would have a same appearance before and after such rotation. The x direction target 204 also includes second structures 208 that are divided into two groups 208a and 208b that are positioned with respect to each other so that if they were rotated 180° about a center of symmetry 210, the first structures would have a same appearance before and after such rotation. In this illustration, the COS of the first structures is at the same position as the COS of the second structures. When a overlay error is present within a target, the COS of the first structures of such target is shifted from the COS of the second structures. This shift is called the overlay error.

The overlay error in separate x and y targets may be determined based on a priori knowledge that each target is designed to have structures in each layer that have a 180° rotational symmetry about a same COS. Any shift between the COS's of the first and second layer structures may be imaged and measured as an overlay error. In alternative embodiments, the x and/or y targets of FIG. 2 may be arranged so that the first and second structures have a COS with a known offset. In this case, if the shift does not match the known offset, the amount of variance corresponds to the overlay error.

FIG. 3 is a diagrammatic top view an overlay target 300, wherein one of its x and y direction structures has a 180° rotational symmetry while the other of its x and y direction structures has a mirror symmetry, in accordance with an alternative embodiment of the present invention. As shown, the target 300 includes x direction structures 306 and 308 and y direction structures 302 and 304. The x direction structures include a first set of structures 306a and 306b on a first layer and a second set of structures 308a and 308b on a second layer. The y direction structures include a first set of structures 302a and 302b on a first layer and a single structure 304 on a second layer. The first and second structures of the x direction target are designed to have a 180° rotational symmetry with respect to a same center of symmetry (COS) 310, while the first and second structures of the y direction target are designed to have a mirror symmetry with respect to a same line of symmetry (LOS) 312. A shift between either the COS's or LOS's of the first and second layer structures can be imaged and measured to determine an overlay error in the x or y direction, respectively. In alternative embodiments, the x and/or y targets of FIG. 3 may be arranged so that the first and second structures have COS's or LOS's with a known offset. In this case, if the shift does not match the known offset, the amount of variance corresponds to the overlay error.

Targets having flexible symmetry characteristics may be in the form of device structures. In other words, device structures which have inherent symmetrical properties, such as a 180 rotational symmetry and/or a mirror symmetry for structures in a first and second layer may be used. These structures may also have a known offset between their COS's or LOS's. Such devices may be identified by the designer and identified by tags in the design layout. Alternatively, such “target” devices may be located manually or automatically after fabrication.

The target structures of the present invention may have any suitable shape and arrangement so as to provide flexible symmetry characteristics. FIGS. 4A and 4B illustrate various examples of target shapes and arrangements. Although the targets are shown as having structures on a first layer with a same COS as structures on a second layer, the first and second layer structures may easily be designed to have different COS's. These FIGS. 4A and 4B are merely meant to illustrate the different shapes that the targets of the present invention may take.

FIG. 4A is a diagrammatic top view of an overlay target in accordance with a specific implementation of the present invention. Each set of target structures in each layer may include any number and shape of structures. A first set of structures in a first layer (shaded black) includes structure 402a through 402d which have a center of symmetry 410. Structures 402a and 402c are 7 sided polygons, while structures 402b and 402d are triangles. A second set of structures in a second layer (shaded gray) includes structure 404a through 404d which have the same center of symmetry 410 as the first set of structures in the first layer. Structures 404a and 404c are star shaped polygons, while structures 404b and 404d are cross shaped polygons. In one embodiment of the present invention, the center of symmetry of the first layer structures is offset from the center of symmetry of the second layer structures by a known distance (not shown).

FIG. 4B is a diagrammatic top view of an overlay target in accordance with an alternative implementation of the present invention. In this embodiment, each structure includes a plurality of horizontal or vertical lines in two different layers. A first layer is shaded black, while a second layer is shaded gray. Each horizontal and vertical line may also be formed from a plurality of segments (not shown). As shown, horizontal structures 452a, 452b, 452e and 452f and vertical structures 452b, 452c, 452d, 452g, and 452h have a same center of symmetry 454. Additionally, the different layers of each set of vertical and horizontal structures are shown as having a same center of symmetry. In a specific implementation of the present invention, the center of symmetry of the horizontal structures is offset from the center of symmetry vertical structures by a known distance (not shown). In another specific implementation of the present invention, the center of symmetry of the first layer structures (from the horizontal and/or vertical structures) is offset from the center of symmetry of the second layer structures (from the horizontal and/or vertical structures) by a known distance (not shown).

The target rules preferably include a requirement that the target be placed in a layer which is measurable or inspectable by a particular type of tool. For example, the target may have to be on a top layer or be covered with only optically transparent layers so that the target may be inspected by an optical tool. In other applications, the target may be required to be underneath an opaque layer so that the opaque layer's conformance to the underlying target may be inspected and/or measured. Additionally, each inspection, review, or metrology tool typically has a size constraint as to the measured or inspected structure. That is, structures below a particular size cannot be seen. Therefore, the targets must be sized so that they can be measured or inspected by the relevant tool.

The targets of the present invention described herein may be placed in any suitable space on the wafer. By way of examples, the targets may be placed in the scribe line or within the dies themselves. When targets are placed in a die, the die layout may also be analyzed to determine whether particular portions or areas have a characteristic which negatively or positively affects metrology or inspection results, as compared with other areas of the die layout. For example, particular layout characteristics may result in more reliable or accurate metrology or inspection results. In one specific case, targets may be placed in areas which have characteristics that positively affect the metrology or inspection. In an example of such a feature characteristic, a chemical mechanical polishing (CMP) procedure is typically tuned to achieve superior accuracy with a particular feature density range. Thus, targets, such as overlay targets, may be placed in layout regions which are within the particular feature density range for an optimal CMP process.

The circuit designer may be aware of feature locations in the die layout which are most susceptible to error or defects. The designer may communicate the position of such features to the target placement software or layout engineer so that targets may be placed proximate to such problem features. This placement technique would likely result in a higher incidence of defect capture and more reliable resulting products.

The targets may also be placed within a dummy layer. It is common practice in semiconductor manufacturing today to include dummy structures in open areas of the circuit layout to ensure uniform pattern density. Dummy structures are generally used for optimal results in chemical mechanical polishing and other semiconductor manufacturing processes.

In order to enable targets inside the chip area, there are significant advantages in combining the functionality of the particular metrology (or inspection) target with the purpose of the dummy structures. That is, a structure which has two components that serve both purposes of a dummy structure and a metrology (or inspection) target would efficiently utilize the open spaces of the die area to increase CMP uniformity (and other dummy requirements where applicable), as well as to provide a metrology or inspection target. Additionally, a new type of metrology or inspection may be used with such combination marks. For example, a particular design pattern's fidelity may be monitored via such combination target. That is, a designer's intent regarding a particular pattern's function or structure may be verified with respect to the pattern being combined and measured or inspected in a dummy structure.

A combination target and dummy structure can be achieved in a number of different ways. In one example of a combination dummy and overlay structure, the structures can be designed on two masks such that they form interlaced periodic structures. Any suitable types of overlay structures may be altered to have flexible COS's or LOS's as described herein. Suitably modifiable overlay targets and techniques for determining overlay with same are described in the following U.S. patents and applications: (1) U.S. Pat. No. 6,462,818, issued 8 Oct. 2002, entitled “OVERLAY ALIGNMENT MARK DESIGN”, by Bareket, (2) U.S. Pat. No. 6,023,338, issued 8 Feb. 2000, entitled “OVERLAY ALIGNMENT MEASUREMENT OF WAFER”, by Bareket, (3) application Ser. No. 09/894,987, filed 27 Jun. 2001, entitled “OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS”, by Ghinovker et al., (4) U.S. Pat. No. 6,486,954, issued 26 Nov. 2002, entitled “OVERLAY ALIGNMENT MEASUREMENT MARK” by Levy et al., (5) application Ser. No. 10/367,124, filed 13 Feb. 2004, entitled OVERLAY METROLOGY AND CONTROL METHOD, by Mike Adel et al, (6) application Ser. No. 10/785,396 filed 23 Feb. 2004, entitled APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY, by Walter D. Mieher, et al., (7) application Ser. No. 10/729,838 filed 5 Dec. 2003, entitled APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY, by Walter D. Mieher, et al., and (8) application Ser. No. 10/858,836 filed 1 Jun. 2004, entitled APPARATUS AND METHODS FOR PROVIDING IN-CHIP MICROTARGETS FOR METROLOGY OR INSPECTION, by Avi Cohen et al. These patents and applications are all incorporated herein by reference in their entirety.

An overlay type combination and dummy structure includes two components one on a first layer or mask and one on a second layer or mask. Each component preferably complies with the requirements for a dummy structure of the process step associated with that layer or mask. A further example may be a case where these periodic structures are aligned such that the component on a first mask is symmetrically positioned with respect to the component on a second mask when the masks are correctly aligned. Also, the component on a first mask may be designed to fit into the open spaces within the component on a second mask and visa versa. As a further particular example, the periodic component on the two masks could be identical but offset by half a unit cell of the periodic structure along both x and y axes. Alternatively the component on a first mask may have a different structure than the component on a second mask but is still offset by half a unit cell of the component as above. Example overlay type combination targets are shown in FIG. 4C through 4E.

Each component may also contain an additional coarse segmentation which is periodic and is designed to improve the contrast and information content for the metrology tool as further described in the above referenced U.S. application Ser. No. 10/367,124 by Mike Adel et al.

FIGS. 4C through 4E together illustrate a technique for forming combination dummy and overlay structures, as well as example structures, in accordance with specific implementations of the present invention. An open space may be filled with any suitably sized and shaped combination dummy and target structures (referred to herein as targets). As shown in FIG. 4C, an array of targets are formed within an open area. The targets include a first set of structures on a first layer (e.g., the “+” shaped structures) and a second set of structures on a second layer (e.g., the hexagon shaped structures). Note that the first set of structures has a COS 410, while the second set of structures have a second COS 412 that is offset from the first COS 410.

In another technique, an array of targets may be conceptually used to fill in around actual device structures. As shown in FIG. 4D, an array of hexagon shaped and “+” shaped structures are overlaid onto two device structures. For illustration purposes, one device structure is shaped like a star and is on a same layer as the hexagon target structures, while another device structure is shaped like an arrow and is on the same layer as the “+” shaped target structures. After the target array is overlaid with the device structures, some of the target structures are removed to accommodate the device structures. That is, target structures on one layer are removed from an area encompassing the device structure on the same layer. As shown, the “+” shaped structures are removed from an area encompassing the arrow shaped device structure, and the hexagon shaped structures are removed from an area encompassing the star shaped device structure. The target structures are removed such that a COS of each layer is maintained. For example, the first layer structures have a first COS 420 that differs from the second COS 422 of the second layer structures.

If the device structures on two different layers are overlapping, however, both layers of targets are removed from an area encompassing the two overlapping device structures as illustrated in FIG. 4E. In this example, the target structures are also removed such that a COS of each layer is maintained. For example, the first layer structures have a first COS 430 that differs from the second COS 432 of the second layer structures.

In these combination dummy and target examples, a signal is detected from the field of views (FOV's) as represented in FIGS. 4C-4E. The center of symmetries of the first and second layers are determined. In embodiments of the present invention, the center of symmetries are designed to be located at a known offset from each other so that a discrepancy can be translated into an overlay value. In alternative embodiments, a first set of structures are used to measure overlay in an x direction and a set of second structures are used to measure overlay in a y direction. The x direction structures have a center of symmetry or line of symmetry that differs from the y direction structures.

When the FOV includes both targets and devices as in FIGS. 4D and 4E, it is first determined which parts of the signal are noise (or device structures) and which parts correspond to the target structures. This determination may be determined in suitable manner. In one embodiment, the signal (or image generated from such signal) is compared to a design file which identifies device structures and the device structures' contribution to the signal (or image) is subtracted from the signal (or image). The resulting signal (or image) corresponds to the target which may then be assessed as previously described. Alternatively, one may manually train the metrology tool to locate targets by manually moving the tool to known target locations and identifying the targets. These identified targets can then be used by the metrology tool to search for other targets with a similar appearance using standard pattern recognition techniques. Alternatively, a representative target in the design file may be used to train the metrology tool. The representative target may also be located in a easily found position, such as the scribe line.

In general, rules for both dummy structures and the particular target type are followed when forming combination dummy and target structures. For instance, the dummy structure rules may require a particular pattern density or maximum open space size for ensuring a particular level of CMP uniformity. Additionally, the particular metrology or inspection procedure rules for the targets are followed. In one type of overlay metrology technique, the structures on two different layers are assessed to determine whether their centers of symmetry are where they should be (e.g., aligned or offset by a known distance) to thereby determine overlay. In this example, the structures are designed on two different layers and have a same center of symmetry or known offset centers of symmetry.

After a die and targets are fabricated, the targets may be inspected, reviewed, or measured in any suitable manner. FIG. 5 is a flowchart illustrating a procedure 500 for inspecting targets fabricated from a layout pattern generated in accordance with techniques of the present invention. Initially, each target is inspected or measured to determine whether a process is out of specification in operation 502. It is then determined whether a process is out of specification in operation 503. If a process is not out of specification, the inspection, review, or measurement procedure ends.

If a process is out of specification, a number of techniques may be implemented to alleviate the problem. In a first technique, a subsequent process may be adjusted to compensate for the process which is out of specification in operation 504. For example, if it is determined that the photoresist pattern is misaligned in any portion, the photoresist may then be stripped and reapplied in a corrected pattern to eliminate the misalignment. The subsequent process is then performed so as to continue fabrication of the same die in operation 506. For example, the wafer may be patterned. In a second technique, processing of the die may be halted in operation 508. The die may then be discarded in operation 510. The process which is out of specification may then be adjusted for subsequent die(s) in operation 512.

One may determine whether the targets with flexible COS's and/or LOS's of the present invention are within specification in any suitable manner. FIG. 6 is a flow chart illustrating the operation 503 of FIG. 5 for determining whether a target is out of specification in accordance with a specific implementation of the present invention. Although this procedure is described with respect to a target having structures with a 180° rotational COS, of course, this procedure may be easily modified for structures with mirror symmetry. This procedure may also be applied to determining an alignment error between two sets of structures on the same layer, rather than an overlay error on two different layers as illustrated.

In the illustrated example of FIG. 6, the center of either X or Y target structures are initially moved to the center of the FOV of the inspection tool in operation 602. The region of interests (ROI's) of each layer are then determined in operation 604. The x target structures of FIG. 2 will be used to illustrate the procedure of FIG. 6. For example, four ROI's may be formed for the x direction target structures 206a, 206b, 208a and 208b of FIG. 2, as represented by the dotted lines. The dotted line 202 may represent the FOV of the inspection tool, while the cross 210 represents the center of the x target structures.

The COS for each set of structures 206 and 208 from the first and second layers, respectively, may be determined using any suitable technique. For example, an edge technique may be utilized to determine COS for the structures in each layer. In the illustrated embodiment, the outside edges of each ROI of each layer are used to determine the COS for each layer in operation 606. For the structures 206 and 208, the outside edges of each ROI may be determined and then the edges are then used to find a center position between the outside edges of each set of structures (e.g., between structures 206a and structures 206b). For structures having subresolution features (e.g., target of FIG. 4B), the edge of each set of subresolution lines (e.g., the first layer lines of set 452a) would be measured as a single edge.

Another COS determination technique is referred to as the correlation technique. In this technique, an initial COS position is estimated between the ROI's of the structures of each layer in operation 608. As shown for the structures 206, an initial estimate of COS 210 may be positioned between structures 206a and 206b. Two linear arrays are then obtained by measuring across the two sets of structures at positions that are equal distances from the initial COS. The structures 206a and 206b will tend to each result in a periodic signal with three peak intensity values. The two obtained linear arrays are then flipped horizontally and vertically and matched and a metric of correlation such as the product is calculated. The arrays are moved with respect to one another and the metric is calculated for each offset. The metric is then plotted and the correct COS is located by finding the maximum of the correlation metric. Intelligent searching algorithms (e.g., a binary search) may also be used to efficiently locate the correct COS position.

Said in another way, for each ROI set of each layer, its 180° rotation counterpart is automatically placed based on the initial COS in operation 610. The COS is continually moved for each layer until the best correlation is found between the rotated image and original images of each layer in operation 612. After the best correlation is found, the COS is found.

After the COS is found using any suitable technique, it is then determined whether the COS of the first layer structures differs from the COS of the second layer structures by more than a predetermined value in operation 614. If they do not differ by more than the predetermined value, it is determined that the x or y target under analysis in not out of specification in operation 618. However, if they do differ by more than the predetermined amount, it is determined that the x or y target under analysis is out of specification in operation 616. The procedure for determining whether the target is out of specification then ends.

The techniques of the present invention may be implemented in any suitable combination of software and/or hardware system. Regardless of the system's configuration, it may employ one or more memories or memory modules configured to store data, program instructions for the general-purpose inspection operations and/or the inventive techniques described herein. The program instructions may control the operation of an operating system and/or one or more applications, for example. The memory or memories may also be configured to store layout patterns, layout constraint rules and target rules.

Because such information and program instructions may be employed to implement the systems/methods described herein, the present invention relates to machine readable media that include program instructions, state information, etc. for performing various operations described herein. Examples of machine-readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROM disks; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and perform program instructions, such as read-only memory devices (ROM) and random access memory (RAM). The invention may also be embodied in a carrier wave traveling over an appropriate medium such as airwaves, optical lines, electric lines, etc. Examples of program instructions include both machine code, such as produced by a compiler, and files containing higher level code that may be executed by the computer using an interpreter.

Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Therefore, the described embodiments should be taken as illustrative and not restrictive, and the invention should not be limited to the details given herein but should be defined by the following claims and their full scope of equivalents.

Ghinovker, Mark

Patent Priority Assignee Title
10451412, Apr 22 2016 KLA-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
9410902, May 05 2015 United Microelectronics Corp. Overlay measurement method
9702693, Aug 30 2000 KLA-Tencor Corporation Apparatus for measuring overlay errors
Patent Priority Assignee Title
3594085,
4103998, Jul 21 1975 Nippon Kogaku K.K. Automatic alignment apparatus
4167337, Jun 19 1976 International Business Machines Corporation Interferometric apparatus and process
4200395, May 03 1977 Massachusetts Institute of Technology Alignment of diffraction gratings
4251160, Jun 17 1976 U.S. Philips Corporation Method and arrangement for aligning a mask pattern relative to a semiconductor substrate
4332473, Jan 31 1979 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
4475811, Apr 28 1983 SVG LITHOGRAPHY, INC , A CORP OF DE Overlay test measurement systems
4538105, Dec 07 1981 SVG LITHOGRAPHY, INC , A CORP OF DE Overlay test wafer
4631416, Dec 19 1983 Agilent Technologies Inc Wafer/mask alignment system using diffraction gratings
4647207, May 24 1984 THERMO BIOSTAR INC Ellipsometric method and apparatus
4703434, Apr 24 1984 SVG LITHOGRAPHY, INC , A CORP OF DE Apparatus for measuring overlay error
4710642, Aug 20 1985 Nanometrics Incorporated Optical scatterometer having improved sensitivity and bandwidth
4714874, Nov 12 1985 Miles Inc. Test strip identification and instrument calibration
4750836, Sep 18 1986 General Electric Company Method of measuring misalignment between superimposed patterns
4757207, Mar 03 1987 INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NY Measurement of registration of overlaid test patterns by the use of reflected light
4757707, Mar 19 1986 British Steel plc Molten metal gas analysis
4778275, Mar 12 1986 ASM LITHOGRAPHY B V Method of aligning a mask and a substrate relative to each other and arrangement for carrying out the method
4782288, Dec 31 1985 SGS Microelettronica S.p.A. Method for evaluating processing parameters in the manufacture of semiconductor devices
4818110, May 06 1986 KLA Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
4820055, Aug 26 1985 SIEMENS AKTIENGESELLSCHAFT, A GERMAN CORP Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
4828392, Mar 13 1985 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
4848911, Jun 11 1986 Kabushiki Kaisha Toshiba; Tokyo Kogaku Kikai Kabushiki Kaisha Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
4855253, Jan 29 1988 Hewlett-Packard; HEWLETT-PACKARD COMPANY, A CA CORP Test method for random defects in electronic microstructures
4929083, Jun 19 1986 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
4999014, May 04 1989 Bankers Trust Company Method and apparatus for measuring thickness of thin films
5017514, Nov 25 1988 NEC Electronics Corporation Method of manufacturing a semiconductor device using a main vernier pattern formed at a right angle to a subsidiary vernier pattern
5100237, Apr 20 1989 ASM Lithography Apparatus for projecting a mask pattern on a substrate
5112129, Mar 02 1990 KLA Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
5114235, Jul 18 1989 CANON KABUSHIKI KAISHA, A CORP OF JAPAN Method of detecting positional deviation
5148214, May 09 1986 Canon Kabushiki Kaisha Alignment and exposure apparatus
5156982, Jan 10 1991 Shin-Etsu Handotai Co., Ltd. Pattern shift measuring method
5166752, Jan 11 1990 Rudolph Technologies, Inc Simultaneous multiple angle/multiple wavelength ellipsometer and method
5172190, Jan 12 1990 RAVE N P , INC Alignment patterns for two objects to be aligned relative to each other
5182455, Jan 20 1989 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
5182610, Apr 19 1990 SORTEC Corporation Position detecting method and device therefor as well as aligning device
5189494, Nov 07 1988 Position detecting method and apparatus
5191393, Dec 05 1988 ORBOT INSTRUMENTS LTD Optical measurement device and method
5216257, Jul 09 1990 STC UNM Method and apparatus for alignment and overlay of submicron lithographic features
5262258, Jun 12 1990 Renesas Electronics Corporation Process of manufacturing semiconductor devices
5276337, Oct 31 1991 International Business Machines Corporation; INTERNATIONAL BUSINESS MACHINES CORPORATION A CORPORATION OF NEW YORK Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
5296917, Jan 21 1992 Renesas Electronics Corporation Method of monitoring accuracy with which patterns are written
5316984, Mar 25 1993 VLSI Technology, Inc. Bright field wafer target
5327221, Feb 16 1988 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
5340992, Feb 16 1988 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
5343292, Oct 19 1990 SCIENCE & TECHNOLOGY CORPORATION AT THE UNIVERSITY OF NEW MEXICO Method and apparatus for alignment of submicron lithographic features
5355306, Sep 30 1993 Freescale Semiconductor, Inc Alignment system and method of alignment by symmetrical and asymmetrical analysis
5383136, Mar 13 1992 UNITED STATES OF AMERICA, THE, AS REPRESENTED BY THE SECRETARY OF COMMERCE NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
5388909, Sep 16 1993 Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
5414514, Jun 01 1993 Massachusetts Institute of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
5416588, Dec 21 1992 BOARD OF REGENTS OF THE UNIVERSITY OF NEBRASKA, THE Small modulation ellipsometry
5436097, Mar 14 1992 Kabushiki Kaisha Toshiba Mask for evaluation of aligner and method of evaluating aligner using the same
5438413, Mar 03 1993 KLA Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
5465148, Oct 23 1992 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
5477057, Aug 17 1994 ASML HOLDING N V Off axis alignment system for scanning photolithography
5479270, May 19 1992 Eastman Kodak Company Method and apparatus for aligning depth images
5481362, Jul 16 1990 ASM Lithography Apparatus for projecting a mask pattern on a substrate
5498501, Feb 02 1990 Canon Kabushiki Kaisha Exposure method
5525840, Nov 18 1993 Renesas Electronics Corporation Semiconductor device having an alignment mark
5596406, Jul 16 1993 Bankers Trust Company Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
5596413, Aug 17 1995 Bell Semiconductor, LLC Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
5608526, Jan 19 1995 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
5617340, Apr 28 1994 United States of America, as represented by the Secretary of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
5627083, Aug 03 1993 NEC Corporation Method of fabricating semiconductor device including step of forming superposition error measuring patterns
5665495, Mar 10 1994 Hyundai Electronics Industries Co., Ltd. Method for fabricating a semiconductor with a photomask
5666196, May 31 1993 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
5674650, Aug 02 1994 ASML NETHERLANDS B V Method of repetitively imaging a mask pattern on a substrate, and apparatus for performing the method
5699282, Apr 28 1994 NATIONAL INSTITUTE OF STANDARDS AND TECHNOLOGY, UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE Methods and test structures for measuring overlay in multilayer devices
5701013, Jun 07 1996 Promos Technologies Inc Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
5702567, Jun 01 1995 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
5703685, Mar 05 1993 Kabushiki Kaisha Toshiba Alignment method
5712707, Nov 20 1995 GLOBALFOUNDRIES Inc Edge overlay measurement target for sub-0.5 micron ground rules
5757507, Nov 20 1995 GLOBALFOUNDRIES Inc Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
5766809, Sep 27 1995 Hyundai Electromics Industries Co., Ltd. Method for testing overlay in a semiconductor device utilizing inclined measuring mark
5783342, Dec 28 1994 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
5801390, Feb 09 1996 Nikon Corporation Position-detection method and apparatus with a grating mark
5805290, May 02 1996 GLOBALFOUNDRIES Inc Method of optical metrology of unresolved pattern arrays
5808742, May 31 1995 Massachusetts Institute of Technology Optical alignment apparatus having multiple parallel alignment marks
5835196, Sep 30 1992 Texas Instruments Incorporated System and method for alignment of integrated circuits multiple layers
5857258, Mar 13 1992 UNITED STATES OF AMERICA, THE, AS REPRESENTED BY THE SECRETARY OF COMMERCE Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
5872042, Aug 22 1996 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
5877036, Feb 29 1996 Renesas Electronics Corporation Overlay measuring method using correlation function
5877861, Nov 14 1997 GLOBALFOUNDRIES Inc Method for overlay control system
5882980, Oct 15 1993 Hyundai Electronics Industries Co., Ltd. Process of forming bipolar alignment mark for semiconductor
5883710, Dec 08 1994 TENCOR INSTRUMENTS, A CORP OF CA Scanning system for inspecting anomalies on surfaces
5889593, Feb 26 1997 KLA Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
5902703, Mar 27 1997 VLSI Technology, Inc.; VLSI Technology, Inc Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
5909333, May 27 1994 Western Digital Technologies, INC Servo-writing system for use in a data recording disk drive
5912983, Jan 24 1997 OKI SEMICONDUCTOR CO , LTD Overlay accuracy measuring method
5923041, Feb 03 1995 NATIONAL INSTITUTE OF STANDARDS AND TEEHNOLOG, SECRETARY OF COMMERCE, UNITED STATES OF AMERICA Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
5939226, Mar 08 1996 Mitsubishi Denki Kabushiki Kaisha Aberration estimation reticle for determining overlay error
5949145, Feb 28 1996 NEC Electronics Corporation Semiconductor device including alignment marks
5966201, Nov 07 1996 Nikon Corporation Mark for position detection, and mark detecting method and apparatus
5968693, Mar 04 1991 DaimlerChrysler Corporation Lithography tool adjustment utilizing latent imagery
6013355, Dec 30 1996 International Business Machines Corporation Testing laminates with x-ray moire interferometry
6020966, Sep 23 1998 GOOGLE LLC Enhanced optical detection of minimum features using depolarization
6023338, Jul 12 1996 KLA Instruments Corporation Overlay alignment measurement of wafers
6037671, Nov 03 1998 FULLBRITE CAPITAL PARTNERS Stepper alignment mark structure for maintaining alignment integrity
6046094, Aug 02 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Method of forming wafer alignment patterns
6077756, Apr 24 1998 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
6079256, Jul 12 1996 KLA Instruments Corporation Overlay alignment measurement of wafers
6081325, Jun 04 1996 KLA-Tencor Technologies Corporation Optical scanning system for surface inspection
6084679, Apr 02 1999 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
6118185, May 06 1998 Taiwan Semiconductor Manufacturing Company Segmented box-in-box for improving back end overlay measurement
6128089, Jul 28 1998 GLOBALFOUNDRIES Inc Combined segmented and nonsegmented bar-in-bar targets
6130750, May 02 1996 GLOBALFOUNDRIES Inc Optical metrology tool and method of using same
6137578, Jul 28 1998 International Business Machines Corporation Segmented bar-in-bar target
6140217, Jul 16 1998 GLOBALFOUNDRIES Inc Technique for extending the limits of photolithography
6146910, Feb 02 1999 COMMERCE, UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF THE Target configuration and method for extraction of overlay vectors from targets having concealed features
6153886, Feb 19 1993 Nikon Corporation Alignment apparatus in projection exposure apparatus
6160622, Dec 29 1997 ASML NETHERLANDS B V Alignment device and lithographic apparatus comprising such a device
6165656, Mar 08 1996 Mitsubishi Denki Kabushiki Kaisha Overlay error determination mark considering influence of aberration
6177330, Sep 26 1997 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
6197679, Jun 04 1997 Renesas Electronics Corporation Semiconductor device and manufacturing method therefor
6255189, Oct 20 1998 NXP B V Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partly recessed oxide pattern
6278957, Jan 21 1993 Nikon Corporation Alignment method and apparatus therefor
6323560, Jun 27 1995 Renesas Electronics Corporation Registration accuracy measurement mark, method of repairing defect of the mark, photomask having the mark, method of manufacturing the photo mask and method of exposure thereof
6342735, Sep 01 1999 International Business Machines Corporation Dual use alignment aid
6350548, Mar 15 2000 TWITTER, INC Nested overlay measurement target
6384899, Feb 04 1999 ASML NETHERLANDS B V Lithographic projection apparatus
6385772, Apr 30 1998 Texas Instruments Incorporated Monitoring system having wireless remote viewing and control
6420791, Nov 23 1999 United Microelectronics Corp Alignment mark design
6420971, Jun 23 1999 TRIpseal Limited Electronic seal, methods and security system
6421124, Dec 03 1997 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
6445453, Aug 02 1999 Zetetic Institute Scanning interferometric near-field confocal microscopy
6458605, Jun 28 2001 FULLBRITE CAPITAL PARTNERS Method and apparatus for controlling photolithography overlay registration
6462818, Jun 22 2000 KLA-Tencor Overlay alignment mark design
6476920, Mar 18 1998 NOVA MEASURING INSTRUMENTS LTD Method and apparatus for measurements of patterned structures
6486954, Sep 01 2000 KLA-Tencor Technologies Corporation Overlay alignment measurement mark
6522406, Apr 20 2001 ONTO INNOVATION INC Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
6580505, Jun 22 2000 KLA-Tencor Corporation Overlay alignment mark design
6590656, Mar 06 1998 KLA-Tencor Corporation Spectroscopic scatterometer system
6611330, Feb 09 1999 KLA-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
6617080, Sep 24 1999 Renesas Electronics Corporation Photomask, semiconductor device, and method for exposing through photomask
6633831, Sep 20 2000 KLA-TENCOR, INC Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
6638671, Oct 15 2001 GOOGLE LLC Combined layer-to-layer and within-layer overlay control system
6650424, Dec 07 2000 NOVA MEASURING INSTRUMENTS LTD Method and system for measuring in patterned structures
6699624, Feb 27 2001 TOKYO ELECTRON AMERICA, INC Grating test patterns and methods for overlay metrology
6713753, Jul 03 2001 ONTO INNOVATION INC Combination of normal and oblique incidence polarimetry for the characterization of gratings
6767680, Apr 29 2002 Advanced Micro Devices, Inc. Semiconductor structure and method for determining critical dimensions and overlay error
6772084, Jan 31 2002 TOKYO ELECTRON AMERICA, INC Overlay measurements using periodic gratings
6813034, Feb 05 2002 KLA-TENCOR CORP Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
6815232, Nov 26 2002 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
6819426, Feb 12 2001 Tokyo Electron Limited Overlay alignment metrology using diffraction gratings
6867870, Nov 01 2001 THERMA-WAVE, INC Digital detector data communication in an optical metrology tool
6888632, Feb 28 2003 THERMA-WAVE, INC Modulated scatterometry
6900892, Dec 19 2000 KLA-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
6919964, Jul 09 2002 THERMA-WAVE, INC CD metrology analysis using a finite difference method
6921916, Aug 30 2000 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
6937337, Nov 19 2003 GLOBALFOUNDRIES Inc Overlay target and measurement method using reference and sub-grids
6949462, Apr 04 2002 ONTO INNOVATION INC Measuring an alignment target with multiple polarization states
6982793, Apr 04 2002 ONTO INNOVATION INC Method and apparatus for using an alignment target with designed in offset
6985229, May 30 2002 Bell Semiconductor, LLC Overlay metrology using scatterometry profiling
6985618, Jun 27 2001 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
6992764, Sep 30 2002 ONTO INNOVATION INC Measuring an alignment target with a single polarization state
7042569, Feb 12 2001 Tokyo Electron Limited Overlay alignment metrology using diffraction gratings
7046361, Apr 04 2002 ONTO INNOVATION INC Positioning two elements using an alignment target with a designed offset
7046376, Jul 05 2002 THERMA-WAVE, INC Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
7061615, Sep 20 2001 ONTO INNOVATION INC Spectroscopically measured overlay target
7061623, Aug 25 2003 Zygo Corporation Interferometric back focal plane scatterometry with Koehler illumination
7061627, Mar 13 2002 KLA-TENCOR CORP Optical scatterometry of asymmetric lines and structures
7065737, Mar 01 2004 GLOBALFOUNDRIES Inc Multi-layer overlay measurement and correction technique for IC manufacturing
7068833, Aug 30 2000 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
7080330, Mar 05 2003 OCEAN SEMICONDUCTOR LLC Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
7112813, Sep 20 2002 ASML NETHERLANDS B V Device inspection method and apparatus using an asymmetric marker
7177457, Aug 30 2000 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
7181057, Aug 30 2000 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
7193715, Nov 14 2002 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
7242477, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7274814, Aug 30 2000 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
7277185, Dec 27 2000 ASML Netherlands B.V. Method of measuring overlay
7280212, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7280230, Dec 19 2001 KLA-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
7283226, Apr 26 2001 Tokyo Electron Limited Measurement system cluster
7289213, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7298481, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7301634, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7317531, Dec 05 2002 KLA-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
7317824, Aug 30 2000 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
7346878, Jul 02 2003 KLA-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
7355291, Aug 30 2000 KLA-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
7379183, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7385699, Feb 22 2003 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
7433040, Dec 05 2002 KLA-Tencor Technologies Corp. Apparatus and methods for detecting overlay errors using scatterometry
7473502, Aug 03 2007 ONTO INNOVATION INC Imaging tool calibration artifact and method
7474401, Sep 13 2005 ONTO INNOVATION INC Multi-layer alignment and overlay target and measurement method
7477396, Feb 25 2005 ONTO INNOVATION INC Methods and systems for determining overlay error based on target image symmetry
7541201, Aug 30 2000 KLA-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
7700247, Dec 19 2003 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
20020054290,
20020072001,
20020080364,
20020093648,
20020135875,
20020149782,
20020158193,
20020192577,
20030002043,
20030011786,
20030020184,
20030021465,
20030021466,
20030021467,
20030026471,
20030156276,
20030223630,
20040066517,
20040129900,
20040169861,
20040233440,
20040233442,
20040233443,
20040233444,
20050012928,
20050122516,
20050157297,
20050286051,
20060197950,
20080024766,
20080049226,
20080094630,
20090224413,
EP818814,
EP947828,
JP10213896,
JP11307418,
JP1167631,
JP1186332,
JP1187213,
JP2001093819,
JP2001267202,
JP2004508711,
JP60126881,
JP63248804,
JP8116141,
WO184382,
WO197279,
WO2065545,
WO2069390,
WO2084213,
WO215238,
WO218871,
WO219415,
WO225708,
WO225723,
WO235300,
WO250509,
WO3001297,
WO3042629,
WO3054475,
WO2004053426,
WO2004076963,
WO8504266,
WO9502200,
WO9945340,
WO9956174,
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Aug 09 2005GHINOVKER, MARKKLA-Tencor Technologies CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0313130423 pdf
Jun 30 2009KLA-Tencor Technologies CorporationKLA-Tencor CorporationMERGER SEE DOCUMENT FOR DETAILS 0313390314 pdf
May 01 2013KLA-Tencor Corporation(assignment on the face of the patent)
Date Maintenance Fee Events
Sep 21 2015M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Sep 20 2019M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Nov 06 2023REM: Maintenance Fee Reminder Mailed.
Apr 22 2024EXP: Patent Expired for Failure to Pay Maintenance Fees.


Date Maintenance Schedule
Nov 18 20174 years fee payment window open
May 18 20186 months grace period start (w surcharge)
Nov 18 2018patent expiry (for year 4)
Nov 18 20202 years to revive unintentionally abandoned end. (for year 4)
Nov 18 20218 years fee payment window open
May 18 20226 months grace period start (w surcharge)
Nov 18 2022patent expiry (for year 8)
Nov 18 20242 years to revive unintentionally abandoned end. (for year 8)
Nov 18 202512 years fee payment window open
May 18 20266 months grace period start (w surcharge)
Nov 18 2026patent expiry (for year 12)
Nov 18 20282 years to revive unintentionally abandoned end. (for year 12)