In accordance with the present method and system for improving integrated circuit layout, a local process modification is calculated from simulated process response variables at a set of control points. Said modification values are incorporated into the layout constraints imposed by design rules and design intent to account for manufacturing friendliness. Solving the updated constraint equation with user specified objective function produces a new layout with increased manufacturability. The new layout may further contain data tags that enable optimal process correction to be performed on selected locations, leading to reduction in data size and mask complexity. Also in accordance with this invention, physical design tools are enhanced to read and process anisotropic design rules.

Patent
   10846454
Priority
Apr 21 2004
Filed
Feb 23 2018
Issued
Nov 24 2020
Expiry
Jul 12 2025
Extension
88 days
Assg.orig
Entity
Small
0
1162
EXPIRING-grace
31. A method of producing a design layout for an integrated circuit, comprising:
providing a set of anisotropic global design rules comprising at least global anisotropic layout direction sensitive rules anisotropically limiting relative distances of layout objects in an anisotropic image system;
generating, by an automated design layout system, an original design layout for the integrated circuit according to the global anisotropic layout direction sensitive rules anisotropically limiting relative distances of layout objects in the anisotropic image system;
automatically determining occurrences of at least one pattern within the original design layout;
defining layout direction and pattern-specific design rules for each respective automatically determined occurrence of the at least one pattern within the original design layout;
automatically modifying the original design layout according to at least the defined layout direction and pattern-specific design rules, to produce a modified design layout which differs from the original design layout; and
outputting the modified design layout for the anisotropic image system.
29. A method of producing a design layout for an integrated circuit for an anisotropic image system, comprising the steps of:
defining an original design layout based on original design rules, wherein the original design layout comprises layout objects having relative distances therebetween, said original design rules further comprising original global limits on the relative distance between the original layout objects;
automatically determining occurrences of at least one pattern anisotropically;
generating a modified design layout by an automated design layout system based at least on the original design layout, the original design rules, and a set of regionally-applied layout direction and pattern-specific limits on the relative distance between the original layout objects of the original design layout, which are looked up from a predetermined look-up table using layout patterns as look-up keys, and causing at least one new violation of the global rules limiting relative distances of layout objects;
optimizing the modified design layout according to at least one optimization objective; and
outputting the optimized modified design layout for the anisotropic image system.
1. A method of producing a design layout for an integrated circuit for fabrication by an anisotropic image system, comprising:
providing a set of global design rules comprising at least global rules limiting relative distances of layout objects;
generating, by an automated design layout system, an original design layout for the integrated circuit according to the global rules limiting relative distances of layout objects;
automatically determining occurrences of at least one pattern within the original design layout;
defining layout direction and pattern-specific design rules for each respective automatically determined occurrence of the at least one pattern within the original design layout;
automatically modifying the original design layout according to at least the defined layout direction and pattern-specific design rules, to produce a modified design layout which differs from the original design layout, to cause at least one new violation of the global rules limiting relative distances of layout objects, further comprising optimizing the modified design layout according to at least one optimization objective; and
outputting the modified design layout for fabrication by the anisotropic image system.
2. The method according to claim 1, further comprising manufacturing the integrated circuit by an integrated circuit fabrication system comprising the anisotropic image system based on the modified design layout.
3. The method according to claim 1, wherein the automatically determined occurrences represent regions of the original design layout with poor process latitude in an integrated circuit fabrication system comprising the anisotropic image system.
4. The method according to claim 1, further comprising performing process correction on a subset of the modified design layout, the subset being selected based at least on results of application of the defined layout direction and pattern-specific design rules.
5. The method according to claim 1, wherein occurrences of the at least one pattern are automatically determined anisotropically.
6. The method according to claim 1, wherein the original design layout comprises a first plurality of routing wires running in a first direction, and a second plurality of routing wires running in a second direction, the second direction being orthogonal to the first direction, the routing wires of the first plurality of routing wires having first width values, the routing wires of the second plurality of routing wires having second width values, the first width values being different from the second width values.
7. The method according to claim 6, wherein the first plurality of routing wires and the second plurality of routing wires reside in the same layer of the modified design layout.
8. The method according to claim 6, wherein the step of automatically modifying the original design layout according to at least the defined layout direction and pattern-specific design rules comprise locally adjusting at least a width value of at least one of the first plurality of routing wires in a layout direction sensitive manner.
9. The method according to claim 6, wherein the step of automatically modifying the original design layout according to at least the defined layout direction and pattern-specific design rules comprise locally adjusting at least a spacing value between a pair of the first plurality of routing wires.
10. The method according to claim 1, wherein the original design layout comprises interrelated layout objects organized in a hierarchical structure including master instances, cell instances, and array instances.
11. The method according to claim 1, wherein the at least one pattern within the original design layout comprises interrelated layout objects on one layer of the integrated circuit.
12. The method according to claim 11, wherein the at least one pattern within the original design layout comprises a combination of geometry of adjacent layout objects within the original design layout.
13. The method according to claim 11, wherein the step of automatically determining occurrences of the at least one pattern within the original design layout comprises comparing combinations of geometry in the original design layout with a set of known geometry combinations.
14. The method according to claim 13, wherein the step of comparing combinations of geometry in the original design layout with a set of known geometry combinations comprises determining respective pattern keys for respective combinations of geometry in the original design layout, and the step of defining layout direction and pattern-specific design rules for each respective automatically determined pattern comprises performing lookups from a lookup table based on the respective pattern keys.
15. The method according to claim 13, wherein the step of automatically determining occurrences of the at least one pattern within the original design layout comprises performing automated pattern recognition.
16. The method according to claim 15, wherein the step of automated pattern recognition comprises recognizing combinations of geometry in the original design layout.
17. The method according to claim 15, wherein the step of automated pattern recognition further comprises associating a respective pattern key with a respective pattern in the original design layout, and the step of defining layout direction and pattern-specific design rules for each respective automatically determined pattern comprises retrieving the defining layout direction and pattern-specific design rules for each respective automatically determined occurrence of the pattern based on the pattern key in dependence on a layout direction.
18. The method according to claim 15, wherein the modified design layout meets the set of global design rules.
19. The method according to claim 1, wherein the original design layout includes at least one feature that violates a layout direction and pattern-specific design rule, and the violation of the layout direction and pattern-specific design rule is absent from the modified design layout.
20. The method according to claim 19, wherein:
the global design rules comprise a minimum safeguard distance limit; and
the step of automatically modifying the original design layout according to at least the defined layout direction and pattern-specific design rules comprises generating an additional safeguard distance, and adding the additional safeguard distance to the minimum safeguard distance limit.
21. The method according to claim 1, wherein a dimension of a plurality of first directionally oriented layout objects of a first type of the modified design layout is different from same dimension of a plurality of second directionally oriented layout objects of the first type, the first directionally oriented layout objects being oriented along a first direction, the second directionally oriented layout objects being oriented along a second direction, the second direction being orthogonal to the first direction.
22. The method according to claim 21, wherein the plurality of the first directionally oriented layout objects and the plurality of second directionally oriented layout objects reside in the same layer of the modified design layout.
23. The method according to claim 22, wherein the plurality of the first directionally oriented layout objects and the plurality of second directionally oriented layout objects are wires.
24. The method according to claim 1, wherein the original design layout comprises a first plurality of routing wires running in a first direction, and a second plurality of routing wires running in a second direction, the second direction being orthogonal to the first direction, the routing wires of the first plurality of routing wires having first width values, the routing wires of the second plurality of routing wires having second width values, the first width values being different from the second width values.
25. The method according to claim 1, wherein the set of global design rules are adapted for a particular manufacturing process, wherein the occurrences of at least one pattern within the original design layout represent regions corresponding to local process latitudes for the particular manufacturing process, and the step of modifying the original design layout is performed to provide at least some of corresponding regions of the modified design layout with improved local process latitudes over the local process latitudes of the original design layout.
26. The method according to claim 1, further comprising further modifying the modified design layout selectively in at least one location of the modified design layout which limits a process latitude with respect to a photolithography process, dependent on a circuit tolerance at the location.
27. The method according to claim 1, wherein said step of automatically modifying the original design layout comprises modifying the original design layout by optimization of an optimization objective in a presence of constraints imposed by at least the layout direction and pattern-specific design rules.
28. The method according to claim 1, wherein the at least one optimization objective comprises wire length minimization, legalization, and compaction of the modified design layout.
30. The method according to claim 29, further comprising manufacturing the integrated circuit by an integrated circuit fabrication system comprising the anisotropic image system based on the modified design layout.
32. The method according to claim 31, further comprising manufacturing the integrated circuit by an anisotropic integrated circuit fabrication system comprising the anisotropic image system based on the modified design layout.
33. The method according to claim 32, wherein the automatically determined occurrences represent regions of the original design layout with poor process latitude in the anisotropic integrated circuit fabrication system comprising the anisotropic image system.

This application claims priority to, and is a continuation of U.S. patent application Ser. No. 15/715,097, filed Sep. 25, 2017, now Abandoned, which is a continuation of U.S. patent application Ser. No. 15/251,961, filed Aug. 30, 2016, now U.S. Pat. No. 9,798,853, issued Oct. 24, 2017, which is a continuation of U.S. patent application Ser. No. 13/886,577, filed May 3, 2013, now U.S. Pat. No. 9,697,317, issued Jul. 4, 2017, which is a continuation of U.S. patent application Ser. No. 13/547,444, filed on Jul. 12, 2012, now U.S. Pat. No. 8,464,187, issued Jun. 11, 2013, which is a continuation of U.S. patent application Ser. No. 12/181,483 filed on Jul. 29, 2008, now U.S. Pat. No. 8,266,557, issued Sep. 11, 2012, which is a continuation-in-part of U.S. patent application Ser. No. 10/907,814, filed on Apr. 15, 2005, now U.S. Pat. No. 7,448,012, issued Nov. 4, 2008, which claims priority to U.S. Provisional Patent Application Ser. No. 60/603,758 filed on Aug. 23, 2004, and U.S. Provisional Patent Application Ser. No. 60/564,082, filed on Apr. 21, 2004, each of which is expressly incorporated herein in their entirety.

The present invention relates generally to integrated-circuit devices and more particularly to integrated-circuit devices having superior manufacturing yield.

In modern processing technology, the manufacturing yield of an integrated circuit depends heavily on its layout construction. For a given manufacturing process, a corresponding set of design rules are applied during chip layout to avoid geometry patterns that can cause chip failure. These design rules guarantee the yield by limiting layout geometry parameters such as minimum spacing, minimal line width, etc.

Existing layout construction systems apply design rules over a wide chip area, and to entire classes of circuits. For this reason, the design rule must cover the worst case in all products. Failure to capture the absolute worst case in all chips would lead to systematic yield failure.

In modern processing technologies such as advanced photolithography, many layout features may interact during chip processing. When the interaction distance increases to greater than a few minimal pitches, the number of interacting features increases sharply. For this reason, the feature dependent interactions are difficult to capture with precise design rules. In practice, one makes global design rule sufficiently relaxed in order to guarantee the yield.

The drawback of this approach is at least two fold: firstly, it clearly wastes chip area, and secondly, finding the worst case feature combination in all chips is a non-trivial task that consumes large engineering resources.

Some emerging processing technologies also prefer one spatial direction to the other. Existing layout generation systems, however, use identical minimal spacing and minimal width rules for both directions. This leads to waste in chip area and under-utilization of processing capability, since the design rules must cover the worst of the two directions.

The present invention relates to layout with geometric objects, and more particularly to a system and method for forming layout constraints to account for local and orientation processing dependencies.

The present invention provides a local process modification value to the basic design rule constraint. Local process modification represents an additional safeguard distance beyond the design rule constraint distance. The local process modification value can be calculated from simulated process responses in the region of interest, with a predetermined, often empirical, equation, or from look-up data tables. The original design rule distance plus local process modification effectively creates a new constraint for every unique local situation. With this additional local safeguard, we can reduce the guard band in design rule formulation and improve chip yield by eliminating processing hotspots arising from low probability local feature combinations.

The present invention provides a method that enforces the new local constraints such that simulated local process modification and the original design rule constraint work together to guarantee the chip yield.

For processing technologies with a preferred direction, the present invention constructs two sets of design rule constraint distances for the two orthogonal spatial directions. It constructs layout design systems that can read, store said constraint distances in different memory locations, and apply them according to the orientation of the layout features. By doing so, the layout can fully take advantage of the directional dependence in processing technology.

The invention is generally shown by way of example in the accompanying drawings in which:

FIG. 1 is a flow and block diagram showing a method and system in accordance with the present invention;

FIG. 2 is a flow and block diagram for enforcing local constraints;

FIG. 3 is a schematic diagram illustrating the calculation of local process modification values;

FIG. 4 illustrates the layout artwork terminology;

FIG. 5 is a block diagram for generating anisotropic layout artwork;

FIG. 6 is a flow diagram for anisotropic layout generation;

FIG. 7A illustrates orientation dependent routing;

FIG. 7B illustrates orientation dependent jog insertion;

FIG. 8 is a block diagram showing a system for implementing the present invention.

Definition List 2
Term Definition
Width Distance of interior-facing edge for a single layer
Space Distance of exterior-facing edge for one or two layers
Overlap Distance of interior-facing edge for two layers
Enclosure Distance of inside edge to outside edge when the
polygon of the inside edge is fully inside the polygon
of the outside edge
Extension Distance of inside edge to outside edge

Some preferred embodiments of the present invention will be described in detail with reference to the related drawings of FIGS. 1-8. Additional embodiments, features and/or advantages of the invention will become apparent from the ensuing description or may be learned by the practice of the invention.

The methods and apparatus described here are with respect to integrated circuit manufacturing; however, the techniques described here can be applied to manufacturing or design of any device that require pattern transfer from a polygon database drawing to physical materials using lithographic and/or etch methods. Examples of these include integrated optical devices, microelectromechanical systems (MEMS), gene chips, micromachines, disk drive heads, etc.

The following description includes the best mode presently contemplated for carrying out the invention. This description is not to be taken in a limiting sense, but is made merely for describing the general principles of the invention.

The present invention is directed to methods for improving the manufacturing yield of an IC by optimizing its layout pattern.

FIG. 1 shows a block and flow diagram for the present invention. In block 000, the original design layout and process description are read into the system. Said design layout comprises a plurality of interrelated layout objects, one or more layers, and can be flat or organized in a hierarchical data structure comprising a plurality of masters, cells, and/or array instances. The relative distances between said layout objects are constrained by design rule and design intent.

The process description comprises design rules, simulation models, manufacturing equipment settings, material options, empirical fitting parameter, and look-up data tables that describe the manufacturing behavior.

Block 002 builds initial linear constraints from the input layout, design rules, and circuit requirements. In a preferred embodiment, well-known procedures, such as the shadow propagation method; is applied to accomplish this task. A description of the procedure can be found in Jurgen Doenhardt and Thomas Lengauer, “Algorithm Aspects of One-Dimensional Layout Compaction”, IEEE Trans. Computer-Aided design. Vol. CAD-6 no. 5 September 1987. pp. 863.

Said initial linear constraint equation takes the form AX=d_old, where A is a matrix of coefficients; X is a vector of positional variables comprising location of the polygon edges; and d_old is a column vector of constraint distances. Constraint distances comprise design rule constraint distances and circuit specific design intent. An example of a constraint distance is the minimal line width.

A constraint equation is expressed in the form xi−xj>dij_old, where xi and xj are locations of two interacting polygon edges in the layout, and dij_old is the constraint distance between these two edges. The elements of matrix A in this equation are 1 and −1. The vector d_old is a collection of dij_old. The value of dij_old is given by the design rule or by circuit requirements. For example, dij_old can be the minimal width of a wire as required by process capabilities. In another case, it dij_old is the width of a particular wire that is designed to carry a large amount of current where it would be wider than the minimal wire width required by the process alone.

Block 004 generates local process modification values. A local process modification to the design rule constraint distance transforms the global design rule constraints into location specific constraints. Individual evaluation and enforcement of the required safety margin at each critical location enhances the manufacturing yield of a chip. In a preferred embodiment, we calculate local process modification at constrained locations from manufacturing response variables. Details of a preferred embodiment will be discussed in FIG. 3.

Block 006 combines local process modification value delta_dij, with the original constraint distances generated in block 002. A linear constraint equation now takes the form xi−xj>dij_new, where dij_new=dij_old+delta_dij is defined as the local constraint distance. A collection of dij_new values forms the local constraint distance vector, d_new. The system of equations for local constraint takes the form A*X=d_new.

Local constraint distance is a general addition to the constraint distance specified by design rules. Therefore, it can be applied to any physical design system where design rule constrained layout construction and optimization is performed.

Block 008 enforces the local constraint distance to the original layout. Preferred embodiments will be illustrated in FIG. 2.

Block 010 updates the coordinate variables in the layout according to the solution of the enforcement procedure 008.

The present invention modifies a design rule constraint distance, which is global in nature, with a local process modifier to account for specific local conditions. This mechanism adds extra safeguard to the design rule methodology. If a design rule clean layout contains locations with poor process latitude, the local process modifier delta_dij will be larger than that in other places. By enforcing the new local constraint, dij_new=dij_old+delta_dij, the layout is modified to have better process latitude.

For example if two minimally spaced lines tend to bridge due to a particular surrounding condition, the local process modifier will increase the minimal spacing between them, causing the layout edges to moved further apart during enforcement.

FIG. 2 shows a preferred embodiment for local constraint enforcement. At the start of the procedure, we have a system of equations for local constraint, AX=d_new. The edge locations in the original layout are likely to violate some of the local constraint distances.

Block 100 constructs an objective function Ct*X, where Ct is a row vector of coefficients for achieving various optimization objectives, and X is the position variable in the layout. In a preferred embodiment, the objective function together with the linear constraint system removes the new violations introduced by local constraint with minimal perturbation. For example, we can use the procedure described by Heng et. al. entitled “A VLSI Artwork Legalization Technique Based on a New Criteria of Minimum Layout Perturbation”, ACM/IEEE Intl. Symp. on Physical Design, pp. 116-121, 1997.

By receiving appropriate Ct values, we construct objective functions for wire length minimization, legalization, compaction, and other measurable metrics of layout.

Block 102 solves the linear system problem of minimizing Ct*X, subject to A*X=d_new. This is a standard form for a linear programming problem. We use commercial software packages such as CPLEX from ILOG can be used for this purpose.

Block 104 updates the layout with the solution X of the linear system.

In q one-dimensional method, the flow is performed one direction at a time, first x(y) then y(x).

In a two-dimension method, the flow is performed for horizontal and vertical position variables simultaneously.

In another preferred embodiment, the violations to local constraints are removed one at a time using heuristic procedures. For example, the single error removal procedure described by Zhan Chen, in “Layout and Logic Techniques for Yield and Reliability Enhancement”, Ph.D. Thesis, University of Massachusetts Amherst, 1998, can be applied to fix isolated violations. It is particularly useful when processing hotspots are few.

By enforcing new local constraints, we improve the local process latitude. It is a function similar to optimal process correction (OPC). By enforcing local constraints, we can eliminate the need to perform OPC in large portion of layout. In a preferred embodiment, we tag locations where local constraint enforcement fails or the circuit tolerance is especially tight so that a specially designed OPC system can pick up these location tags and perform localized OPC.

Our experiments show that only small percentages of locations need OPC after local constraint enforcement. Therefore, the localized OPC procedure will greatly reduce the mask complexity compared to the standard, blanket OPC procedure performed today.

According to the present invention, we calculate the local process modification value at a set of control points that best captures the interaction between the edges. In a preferred embodiment, a simulation based hotspot detection procedure is first applied to the layout. After that, control points are placed on the offending polygon edges. Hotspot detection comprises simulating the image of the layout and measuring the difference between said image and the design intent. In a preferred embodiment, the difference is represented by the edge placement error (EPE). A processing hotspot is a location where EPE is larger than a predetermined threshold. As an example, the control points can be the same points on the layout where EPE is evaluated.

In another preferred embodiment, we select the control points by inspecting the interaction among the edges. FIG. 3 shows two layout rectangles 300 and 302, which can be on the same layer or on different layers in the layout. The constraint relation xi−xj>dij_old applies to these two edges.

The interaction region between the right edge of 300 at xi (301) and the left edge of 302 at xj (303) is defined by the shadow of 301 on 303, as marked by the band between the two dashed lines, 304. We find the shadow region by placing a hypothetical flashlight to the left of 301 and measure its shadow on 303, which is similar to the procedure used in constraint generation in block 004 of FIG. 1.

After finding the interaction region, we implement a predetermined sampling plan for laying down the control points. In FIG. 3, we place a pair of control points 306 and 308, one on each edge, at the same height, in the middle of the shadow band. We can also use other spatial sampling plans involving a plurality of pairs of points.

After deciding the sampling points (e.g. 306 and 308 in FIG. 3), we simulate various processing response variables at these points. In the photolithography step of IC fabrication, said response variables represent local printability and comprise edge placement error, light intensity during photolithography exposure and its derivatives, contrast, and mask error enhancement factor. A predetermined empirical function is used to calculate the local process modification value from said processing response variables.

For the example in FIG. 3, we select a linear function of edge placement error at point 306 and 308 to calculate local process modification. Edge placement error, (314/316 for the left/right edge) is defined as the perpendicular distance from intended edge location (xi/xj for the left/right edge) to the simulated edge location as predicted by process simulation, (310/312 for the left/right edge).

Once the edge placement errors are calculated for the two interacting edges, the local process modification value is expressed as w1*EPE_i+w2*EPE_j, where EPE_i 314 and EPE_j 316 are the edge placement errors at 306 and 308 respectively, and w1 and w2 are user specified constants. Local constraint for edges 301 and 303 can now be expressed as dij_new=dij_old+w1*EPE_i+w2*EPE_j.

Variations in functional forms for local process modification can be constructed and additional process variables can be used in order to cover the specific needs of a particular application.

In another preferred embodiment, the local process modification value is obtained from a predetermined look-up data table. The key to the look-up data table is a set of geometry combinations that appear frequently in the layout, such as the two rectangle case show in FIG. 3. The application uses pattern recognition capability to identify the pattern key and search the look-up table in order to obtain appropriate local process modification value. This embodiment is advantageous when good simulation accuracy cannot be obtained, and the interaction is limited to a short range.

The local process modifications discussed so far are microscopic correction to the design rule constraints. In modern processing technology, there are also systematic corrections to design rules on a larger scale. For example, in immersion lithography, one can utilize the polarization property of the imaging light to achieve higher image resolution in a preferred direction. Another example is the crystal orientation dependence in device performance. According to the present invention, we formulated two sets of design rule distances, one set for horizontal dimensions and another set for vertical dimensions in order to achieve best chip performance. We construct physical layout tools to utilize these two separate constraint distances. The optimal layout for these technologies are anisotropic in that the horizontal and vertical directions obey different constraints for minimal space, line width, overlap, enclosure, and extension rules. The exact definition of these geometry terms are listed in Definition List 1 and illustrated in FIG. 4.

Design rules that have different constraint distances for horizontal and vertical directions are defined as anisotropic design rules. The layout that satisfy anisotropic design rules are defined as anisotropic layout.

Design rules that have the same constraint distances for horizontal and vertical directions are defined as isotropic design rules, or simply design rules. The layouts that satisfy isotropic design rules are defined as isotropics layout.

The present invention comprises layout systems that are capable of generating and optimizing layout artwork for a direction dependent processing technology.

In accordance with the current invention, we design a set of simple test patterns with parameterized critical dimensions. The parameter values are selected such that they vary from the value when said pattern can be successfully fabricated to a value at which the fabrication clearly fails. We extract the design rules by finding and recording the parameter value at which the test pattern can be successfully fabricated under all allowable processing conditions, i.e., the process window.

In a preferred embodiment, two separate sets of test patterns are fabricated. One set comprises geometries oriented along the vertical direction. The other set comprises geometries oriented along the horizontal direction. For example, one set has line and space gratings running along the vertical direction; the other set has the same running along horizontal direction. The variable parameters in this example are line width and space width. Extracted design rules from this set of test patterns represent distance constraints for line width and space width in horizontal and vertical directions.

For a direction dependent processing technology, the present invention extracts two distinctive sets of constraint parameters to form an anisotropic design rule set.

FIG. 5 shows a flow diagram for generating optimal layout for a direction dependent processing technology. Starting with design database that contains the circuit netlist and performance target (500), we apply a set of software tools (501) to create a polygonal layout for fabrication. These tools comprise layout editors, placement and routing tools, layout compaction tools, and standard cell generators etc. The tool collection (501) uses anisotropic design rules (502) to restrict the relative positioning of polygon edges based on the orientation of the edge.

In a preferred embodiment, FIG. 6 shows a flow diagram for generating layout for an anisotropic image system. The steps performed in FIG. 6 uses a subset of the tool collection 501.

During floor planning (602) and placement (603), a preferred orientation of the image system is used to optimize the shape, position and orientation of the circuit building blocks. More circuit element can be accommodated in the direction with higher resolution, while the direction with lower resolution has lower line-to-line parasitic capacitance and lower resistance. In routing modules 604 and 605, wiring direction dependent design rules from the memory are used for identifying obstacles, setting wire width and spacing, and estimating resistance and capacitance.

FIG. 7A shows a basic operation during wire routing. A wire is constructed by the routing algorithm to connect two points, A and B. In a preferred embodiment, starting from point A, while the wire is running horizontally (700), the application fetches the minimal width of the horizontal wire from the memory and applies it to limit the current wire segment. After turning 90 degrees (701), the wire now is running along the vertical direction, the application fetches the minimal width of the vertical wire from a different memory location and applies it to limit the minimal line width.

The wire is also kept at safe distances away from obstacles 703 and 704 using directional dependent minimal spacing rules. In a preferred embodiment, the layout generation system compares the separation 705 between vertical line segments 701 and 704, with the minimal spacing rule between vertical lines and reports error when this horizontal constraint is violated. Said system compares the separation 706 between horizontal line segments 702 and 703, with the minimal spacing rule between horizontal lines and reports error when this vertical constraint is violated. In prior art physical design systems, the minimal values for 705 and 706 are the same and equal to the minimal space rule, which is kept at the same memory location in the design system.

FIG. 7B illustrates the procedure for wire jog insertion. In layout systems, interconnect needs to be converted from paths that have no width information to actual layout wires. The preferred width is specified in the technology file. In a preferred embodiment, two numbers representing preferred wire width in vertical and in horizontal direction are read from different input fields. During path to wire conversion, the main wire portion 708 uses width and spacing width for the vertical wires, while jog portion 707 uses width and spacing rules for horizontal wires.

In another preferred embodiment, design rule verification and compaction programs in FIG. 6 are constructed to accept and process anisotropic design rules. For example, the corner to corner constraint on a layout layer may now be expressed as sqrt(d_h*d_h+d_v*d_v), where d_h and d_v ate horizontal and vertical constraint distances respectively. In contrast, in an isotropic layout system, said corner constraint is sqrt(2)*d0, where d0 is the isotropic constraint distance.

Referring to FIG. 8, a block/flow diagram is shown for a system 800 of the present invention. System 800 includes a processor 802 that accesses memory device 804. Memory device 804 stores an application software package 806 for implementing the present invention. A user interfaces with the processor 802 through an input device 808 which may include a keyboard, a mouse, a touch screen monitor, a voice recognition system or other known input devices. A display 810 is also included to display results, prompts, user inputs, graphics, etc.

While the present invention has been described in detail with regards to the preferred embodiments, it should be appreciated that various modifications and variations may be made in the present invention without departing from the scope or spirit of the invention. In this regard, it is important to note that practicing the invention is not limited to the applications described hereinabove. Many other applications and/or alterations may be utilized if such other applications and/or alterations do not depart from the intended purpose of the present invention.

It should further be appreciated by a person skilled in the art that features illustrated or described as part of one embodiment can be used in another embodiment to provide yet another embodiment such that the features are not limited to the specific embodiments described above. Thus, it is intended that the present invention cover such modifications, embodiments and variations as long as such modifications, embodiments and variations come within the scope of the appended claims and their equivalents.

Qian, Qi-De

Patent Priority Assignee Title
Patent Priority Assignee Title
10216890, Apr 21 2004 IYM Technologies LLC Integrated circuits having in-situ constraints
3983479, Jul 23 1975 International Business Machines Corporation Electrical defect monitor structure
4346695, Feb 14 1980 Solar heat exchanger
4346723, Mar 25 1981 Whirlpool Corporation Apparatus for a warewasher bypass soil collector
4346817, May 08 1979 Honeywell Information Systems Inc. Material handling apparatus
4441207, Jan 19 1982 ERIM INTERNATIONAL, INC Design rule checking using serial neighborhood processors
4584653, Mar 22 1983 FMI TRANSITION LLC Method for manufacturing a gate array integrated circuit device
4742471, Oct 31 1985 International Business Machines Corporation Method for improving wirability of master-image DCVS chips
4754105, May 01 1987 OCCUPANT SAFETY SYSTEMS, INC , A DE CORP Seat belt buckle with switch
4761607, Jun 27 1985 MATUSHITA ELECTRIC INDUSTRIAL CO , LTD , 1006 OAZA KADOMA, KADOMA, OSAKA 571, JAPAN Apparatus and method for inspecting semiconductor devices
4803636, Sep 27 1985 Hitachi Ltd.; Hitachi Micro Computer Eng. Ltd. Circuit translator
4823276, Mar 20 1986 Kabushiki Kaisha Toshiba Computer-aided automatic wiring method for semiconductor integrated circuit device
4827428, Nov 15 1985 CHASE MANHATTAN BANK, AS ADMINISTRATIVE AGENT, THE Transistor sizing system for integrated circuits
4852015, Jun 24 1987 Control Data Corporation Automatic circuit layout router
4882690, Sep 26 1985 Hitachi, Ltd.; Hitachi Microcomputer Engineering, Ltd.; Hitachi Software Engineering Co., Ltd. Incremental logic synthesis method
4882999, Dec 19 1986 Kabushiki Kaisha Toshiba Transportation system of a floated-carrier type
4965739, Mar 26 1987 VLSI Technology, Inc. Machine process for routing interconnections from one module to another module and for positioning said two modules after said modules are interconnected
4965863, Oct 02 1987 MORGAN STANLEY & CO , INCORPORATED Gallium arsenide depletion made MESFIT logic cell
5018074, Nov 04 1988 United Technologies Corporation Method of making gate array masks
5021847, May 15 1984 STMicroelectronics, Inc Split gate memory array having staggered floating gate rows and method for making same
5046109, Mar 12 1986 Nikon Corporation Pattern inspection apparatus
5075753, Dec 27 1988 Hitachi, Ltd. Semiconductor integrated circuit device
5079717, Oct 26 1988 Mitsubishi Denki Kabushiki Kaisha Method and system for compaction-processing mask pattern data of a semiconductor integrated circuit device
5086477, Aug 07 1990 Northwest Technology Corp. Automated system for extracting design and layout information from an integrated circuit
5097422, Oct 10 1986 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
5119169, Apr 10 1989 Hitachi, LTD Semiconductor integrated circuit device
5124273, Jun 30 1988 Kabushiki Kaisha Toshiba Automatic wiring method for semiconductor integrated circuit devices
5197116, Jul 10 1989 Hitachi, Ltd. Method of resolution for rule conflict in a knowledge based system
5210701, May 15 1989 Cascade Design Automation Corporation Apparatus and method for designing integrated circuit modules
5212653, Feb 21 1990 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Method for producing a layout of element portions for a semiconductor integrated circuit using a computer
5218551, Apr 30 1990 INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NY Timing driven placement
5237514, Dec 21 1990 International Business Machines Corporation; INTERNATIONAL BUSINESS MACHINES CORPORATION, A CORP OF NY Minimizing path delay in a machine by compensation of timing through selective placement and partitioning
5241185, Jan 24 1991 International Business Machines Corporation Proximity correction method for E-beam lithography
5247455, May 30 1990 SHARP KABUSHIKI KAISHA, Method of verifying wiring layout
5247456, Nov 08 1988 Fujitsu Semiconductor Limited Method and apparatus for forming layout pattern of semiconductor integrated circuit
5258920, Dec 26 1989 Lockheed Martin Corporation Locally orientation specific routing system
5267177, Apr 17 1990 Matsushita Electric Industrial Co., Ltd. Method for VLSI layout pattern compaction by using direct access memory
5281558, Nov 02 1992 Cadence Design Systems, Inc. Cloning method and system for hierarchical compaction
5282140, Jun 24 1992 Intel Corporation Particle flux shadowing for three-dimensional topography simulation
5295082, Feb 22 1989 YAKISAMI CAPITAL CO L L C Efficient method for multichip module interconnect
5303161, Dec 10 1990 MICROELECTRONICS TECHNOLOGY, INC Technology independent integrated circuit mask artwork generator
5303471, Jul 08 1992 Attachment arrangement for reciprocating power saw
5308722, Sep 24 1992 Advanced Micro Devices, INC Voting technique for the manufacture of defect-free printing phase shift lithography
5309371, Jun 28 1989 KAWASAKI MICROELECTRONICS, INC Method of and apparatus for designing circuit block layout in integrated circuit
5311443, Aug 13 1992 Freescale Semiconductor, Inc Rule based floorplanner
5326659, Mar 05 1992 REGENTS OF THE UNIVERSITY OF CALIFORNIA, THE A CA CORP Method for making masks
5331572, Apr 26 1991 NEC Electronics Corporation Integrated circuit and layout system therefor
5345444, Sep 30 1992 AT&T Bell Laboratories; American Telephone and Telegraph Company Chuted, growable packet switching arrangement
5353235, Jun 17 1992 VLSI Technology, Inc. Wire length minimization in channel compactor
5369596, Nov 22 1990 Kabushiki Kaisha Toshiba Semiconductor integrated circuit fabrication method
5376483, Oct 07 1993 Micron Technology, Inc Method of making masks for phase shifting lithography
5379348, Mar 31 1992 Kabushiki Kaisha Toshiba Pattern defects inspection system
5381343, May 26 1992 Cadence Design Systems, Inc. Hier archical pitchmaking compaction method and system for integrated circuit design
5384710, Mar 13 1990 National Semiconductor Corporation Circuit level netlist generation
5388054, Nov 21 1990 Kabushiki Kaisha Toshiba Semiconductor integrated circuit fabrication method
5402358, May 14 1990 NXP B V Method and structure for the automated design of analog integrated circuits
5416717, Sep 06 1989 Hitachi, Ltd. Circuit simulation method for a circuit realized by an LSI layout pattern based upon a circuit of a logic gate level realized by the layout pattern
5416722, Nov 19 1992 VLSI Technology, Inc.; VLSI Technology, Inc System and method for compacting integrated circuit layouts
5422317, Jan 28 1993 Advanced Micro Devices, Inc. Performance enhanced intergrated circuit layout methodology
5438524, Sep 01 1992 Mitsubishi Denki Kabushiki Kaisha Logic synthesizer
5441834, Jul 20 1992 Elpida Memory, Inc Process for fabricating phase shift mask and process of semiconductor integrated circuit device
5442569, Jun 23 1993 OCEANAUTES INC Method and apparatus for system characterization and analysis using finite element methods
5442714, Dec 11 1991 Sharp Kabushiki Kaisha Design rule checking method and a method of fabricating a phase shift mask
5459673, Oct 29 1990 FUJITSU LIMITED, A JAPANESE CORPORATION Method and apparatus for optimizing electronic circuits
5481474, Jul 22 1993 Cadence Design Systems, Inc.; Cadence Design Systems, INC Double-sided placement of components on printed circuit board
5481624, Apr 27 1992 Renesas Electronics Corporation Mask inspecting method and mask detector
5490268, Mar 09 1990 Fujitsu Limited Method for changing an arrangement of an initial combinational circuit to satisfy prescribed delay time by computing permissible functions of output gates and remaining gates
5493509, Sep 22 1992 Kabushiki Kaisha Toshiba Method of and apparatus for generating mask layouts
5493510, Nov 10 1992 KAWASAKI MICROELECTRONICS, INC Method of and apparatus for placing blocks in semiconductor integrated circuit
5519628, Feb 19 1993 International Business Machines Corporation; INTERNATIONAL BUSINESS MACHINES, INC System and method for formulating subsets of a hierarchical circuit design
5526517, May 15 1992 LSI Logic Corporation Concurrently operating design tools in an electronic computer aided design system
5533148, Sep 30 1993 International Business Machines Corporation Method for restructuring physical design images into hierarchical data models
5535134, Jun 03 1994 International Business Machines Corporation Object placement aid
5541025, Dec 28 1993 Kabushiki Kaisha Toshiba Method and apparatus for designing photomasks
5541914, Jan 19 1994 Packet-switched self-routing multistage interconnection network having contention-free fanout, low-loss routing, and fanin buffering to efficiently realize arbitrarily low packet loss
5559718, Apr 28 1994 Cadence Design Systems, INC System and method for model-based verification of local design rules
5559997, Oct 04 1993 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD System and method for designing a printed-circuit board
5568396, Jan 21 1994 Cadence Design Systems, INC Identifying overconstraints using port abstraction graphs
5572598, Aug 22 1991 KLA Instruments Corporation Automated photomask inspection apparatus
5572710, Sep 11 1992 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
5579237, Nov 15 1993 Fujitsu Limited Method of designing a cell placement of an integrated circuit
5581474, Jan 21 1994 Cadence Design Systems, Inc. Identifying overconstraints using port abstraction graphs
5604680, Aug 15 1994 Cadence Design Systems, INC Virtual interface representation of hierarchical symbolic layouts
5610831, Nov 05 1993 Kabushiki Kaisha Toshiba Semiconductor element layout method employing process migration
5612893, Dec 22 1993 VLSI Technology, Inc. Method and apparatus for compacting integrataed circuits with transistor sizing
5619419, Sep 13 1994 Bell Semiconductor, LLC Method of cell placement for an itegrated circuit chip comprising integrated placement and cell overlap removal
5625567, Nov 12 1993 SYNOPSYS, INC , A DE CORPORATION Electronic circuit design system and method with programmable addition and manipulation of logic elements surrounding terminals
5629859, Oct 21 1992 Texas Instruments Incorporated Method for timing-directed circuit optimizations
5631842, Mar 07 1995 International Business Machines Corporation Parallel approach to chip wiring
5633807, May 01 1995 THE CHASE MANHATTAN BANK, AS COLLATERAL AGENT System and method for generating mask layouts
5636129, Apr 20 1994 Cadence Design Systems, INC Electrical routing through fixed sized module and variable sized channel grids
5636132, Nov 22 1994 Mentor Graphics Corporation Method and apparatus for constraining the compaction of components of a circuit layout
5654695, Feb 22 1991 International Business Machines Corporation Multi-function network
5663891, Apr 03 1996 Cadence Design Systems, Inc. Optimization of multiple performance criteria of integrated circuits by expanding a constraint graph with subgraphs derived from multiple PWL convex cost functions
5663892, Mar 29 1994 Kabushiki Kaisha Toshiba Method of compacting layouts of semiconductor integrated circuit designed in a hierarchy
5680588, Jun 06 1995 Canon Kabushiki Kaisha Method and system for optimizing illumination in an optical photolithography projection imaging system
5682321, Oct 05 1994 Bell Semiconductor, LLC Cell placement method for microelectronic integrated circuit combining clustering, cluster placement and de-clustering
5686208, Dec 04 1995 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Process for generating a phase level of an alternating aperture phase shifting mask
5689433, Nov 19 1992 VLSI Technology, Inc. Method and apparatus for compacting integrated circuits with wire length minimization
5691913, Mar 28 1994 Matsushita Electric Ind. Co. Layout designing apparatus for circuit boards
5694328, Aug 06 1992 MATSUSHITA ELECTRIC INDUSTRIAL CO , LTD Method for designing a large scale integrated (LSI) layout
5695896, Dec 04 1995 Micron Technology, Inc. Process for fabricating a phase shifting mask
5701255, Sep 14 1994 Matsushita Electric Industrial Co., Ltd. Cell generation method and cell generation system
5723235, Nov 08 1993 Sony Corporation Method of producing photomask and exposing
5725974, Jun 30 1995 Sony Corporation Method and apparatus for producing scanning data used to produce a photomask
5726903, Feb 07 1996 Unisys Corporation Method and apparatus for resolving conflicts between cell substitution recommendations provided by a drive strength adjust tool
5729466, Apr 03 1996 Cadence Design Systems, Inc. Optimization multiple performance criteria by simulating the behavior of a constraint graph expanded by subgraphs derived from PWL convex cost functions
5745374, Jul 22 1994 Kabushiki Kaisha Toshiba Layout method for semiconductor integrated circuit and layout apparatus for semiconductor integrated circuit
5751554, Jan 19 1993 HEWLETT-PACKARD DEVELOPMENT COMPANY, L P Testable chip carrier
5761075, Dec 28 1993 Kabushiki Kaisha Toshiba Apparatus for designing photomasks
5764530, Mar 17 1994 Fujitsu Limited; Fujitsu VLSI Limited Apparatus and method for generating circuit net list from mask pattern data
5768146, Mar 28 1995 ROBERTS, DONALD R Method of cell contouring to increase device density
5774133, Jan 09 1991 ZIILABS INC LTD , A CORPORATION ORGANIZED UNDER THE LAWS OF BERMUDA Computer system with improved pixel processing capabilities
5795688, Aug 14 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Process for detecting defects in photomasks through aerial image comparisons
5798541, Dec 02 1994 Intel Corporation Standard semiconductor cell with contoured cell boundary to increase device density
5798936, Jun 21 1996 Synopsys, Inc Congestion-driven placement method and computer-implemented integrated-circuit design tool
5801954, Apr 24 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Process for designing and checking a mask layout
5801959, Feb 07 1995 Silicon Valley Research, Inc. Integrated circuit layout
5805860, Jul 05 1995 Sun Microsystems, Inc. Methods, data structures and apparatus for traversing a hierarchical netlist
5808898, Oct 24 1995 Yoji, Kajitani Method of placing and extracting modules
5812162, Apr 12 1995 Eastman Kodak Company Power supply connection for monolithic print heads
5812412, Apr 28 1995 Renesas Electronics Corporation Charged beam pattern data generating method and a charged beam pattern data generating apparatus
5818729, May 23 1996 Synopsys, Inc. Method and system for placing cells using quadratic placement and a spanning tree model
5825385, Apr 12 1995 Eastman Kodak Company Constructions and manufacturing processes for thermally activated print heads
5825660, Sep 07 1995 Carnegie Mellon University Method of optimizing component layout using a hierarchical series of models
5825661, May 01 1996 International Business Machines Corporation Method and apparatus for automatic post-layout optimization of an integrated circuit
5841452, Jan 30 1991 Canon Information Systems Research Australia Pty Ltd; Canon Kabushiki Kaisha Method of fabricating bubblejet print devices using semiconductor fabrication techniques
5841898, Sep 16 1994 Canon Kabushiki Kaisha Utilization of scanned images in an image compositing system
5845233, Jul 30 1997 Bell Semiconductor, LLC Method and apparatus for calibrating static timing analyzer to path delay measurements
5850241, Apr 12 1995 Eastman Kodak Company Monolithic print head structure and a manufacturing process therefor using anisotropic wet etching
5856925, Sep 27 1993 Fujitsu Limited Method for making electronic circuit design data and CAD system using the method
5880967, May 01 1995 Synopsys, Inc Minimization of circuit delay and power through transistor sizing
5887155, Jul 25 1996 ASML NETHERLANDS B V Vertex based geometry engine system for use in integrated circuit design
5889681, Oct 31 1995 Kabushiki Kaisha Toshiba Method of generating layout of semiconductor integrated circuit
5889686, Aug 22 1996 Kabushiki Kaisha Toshiba Profile simulation method
5896300, Aug 30 1996 Synopsys, Inc Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits by filtering timing error bounds for layout critical nets
5903031, Jul 04 1995 Matsushita Electric Industrial Co., Ltd. MIS device, method of manufacturing the same, and method of diagnosing the same
5903461, Apr 19 1994 Bell Semiconductor, LLC Method of cell placement for an integrated circuit chip comprising chaotic placement and moving windows
5905517, Apr 12 1995 Eastman Kodak Company Heater structure and fabrication process for monolithic print heads
5923569, Oct 17 1995 Matsushita Electric Industrial Co., Ltd. Method for designing layout of semiconductor integrated circuit semiconductor integrated circuit obtained by the same method and method for verifying timing thereof
5926397, Mar 06 1996 Sharp Kabushiki Kaisha Routing design method and routing design apparatus
5930499, May 20 1996 ARCADIA DESIGN SYSTEMS Method for mixed placement of structured and non-structured circuit elements
5933566, Dec 28 1994 NORITSU KOKI CO , LTD Film analyzer
5936868, Mar 06 1997 Harris Corporation Method for converting an integrated circuit design for an upgraded process
5953517, Nov 20 1997 Carnegie Mellon University Method of optimizing component layout using a pattern based search
5959871, Dec 23 1993 Analogix/Portland State University Programmable analog array circuit
5963455, Jun 28 1996 Bell Semiconductor, LLC Advanced modular cell placement system with functional sieve optimization technique
5965306, Oct 15 1997 GOOGLE LLC Method of determining the printability of photomask defects
5970238, Mar 14 1996 Matsushita Electric Industrial Co., Ltd. Method and apparatus for generating planarizing pattern and semiconductor integrated circuit device
5974244, Jun 13 1996 Kabushiki Kaisha Toshiba Layout pattern generation device for semiconductor integrated circuits and method therefor
5974245, Oct 14 1994 VSLI Technology, Inc. Method and apparatus for making integrated circuits by inserting buffers into a netlist
5984510, Nov 01 1996 Apple Inc Automatic synthesis of standard cell layouts
5987240, Oct 29 1996 International Business Machines Corporation Design rules checker for an integrated circuit design
5990691, Nov 12 1993 University of Waterloo Non-intrusive state observation of VLSI circuits using thermal actuation
5995734, Mar 07 1996 Matsushita Electric Industrial Co., Ltd. Method for generating transistor placement in an automatic cell layout design
5998068, Jan 28 1997 Matsushita Electric Industrial Co., Ltd. Reticle and pattern formation method
6006024, Nov 01 1996 Apple Inc Method of routing an integrated circuit
6009250, Sep 30 1997 Synopsys, Inc Selective flattening in layout areas in computer implemented integrated circuit design
6009251, Sep 30 1997 Synopsys, Inc Method and system for layout verification of an integrated circuit design with reusable subdesigns
6009252, Mar 05 1998 Synopsys, Inc Methods, apparatus and computer program products for determining equivalencies between integrated circuit schematics and layouts using color symmetrizing matrices
6011911, Sep 30 1997 Synopsys, Inc Layout overlap detection with selective flattening in computer implemented integrated circuit design
6014506, Oct 31 1995 VLSI Technology, Inc Method and apparatus for improving engineering change order placement in integrated circuit designs
6016357, Jun 16 1997 GOOGLE LLC Feedback method to repair phase shift masks
6019457, Jan 30 1991 Canon Kabushiki Kaisha Ink jet print device and print head or print apparatus using the same
6026223, Jun 28 1996 Bell Semiconductor, LLC Advanced modular cell placement system with overlap remover with minimal noise
6031980, May 30 1996 NEC Corporation Layout apparatus for LSI using cell library and method therefor
6035108, Oct 17 1996 NEC TOPPAN CIRCLE SOLUTIONS, INC Figure layout compaction method and compaction device
6045710, Apr 12 1995 Eastman Kodak Company Self-aligned construction and manufacturing process for monolithic print heads
6066179, Jun 13 1997 University of Edinburgh Property estimation of an integrated circuit
6077308, Aug 21 1997 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Creating layout for integrated circuit structures
6077310, Dec 22 1995 Kabushiki Kaisha Toshiba Optical proximity correction system
6078738, May 08 1997 Bell Semiconductor, LLC Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
6080201, Feb 10 1998 GLOBALFOUNDRIES Inc Integrated placement and synthesis for timing closure of microprocessors
6080204, Oct 28 1996 Altera Corporation Method and apparatus for contemporaneously compiling an electronic circuit design by contemporaneously bipartitioning the electronic circuit design using parallel processing
6083275, Jan 09 1998 GLOBALFOUNDRIES Inc Optimized phase shift design migration
6086630, Dec 23 1996 Nortel Networks Limited Automated PCB checklist
6086631, Apr 08 1998 XILINX, Inc.; Xilinx, Inc Post-placement residual overlap removal method for core-based PLD programming process
6091072, Oct 23 1997 GLOBALFOUNDRIES Inc Piece-wise processing of very large semiconductor designs
6091723, Oct 22 1997 THE CHASE MANHATTAN BANK, AS COLLATERAL AGENT Sorting networks having improved layouts
6091845, Feb 24 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Inspection technique of photomask
6091892, Nov 13 1996 XILINX, Inc.; Xilinx, Inc Method for mapping product terms in a complex programmable logic device
6099583, Apr 08 1998 XILINX, Inc.; Xilinx, Inc Core-based placement and annealing methods for programmable logic devices
6110222, May 13 1997 Kabushiki Kaisha Toshiba Layout design method and system for an improved place and route
6127071, Jun 22 1999 International Business Machines Corporation Serif mask design for correcting severe corner rounding and line end shortening in lithography
6130383, May 31 1995 SGS-THOMSON MICROELECTRONICS, S A Solder ball array package and a method of encapsulation
6154873, Jun 05 1997 NEC Electronics Corporation Layout designing method and layout designing apparatus
6155725, Apr 19 1994 Bell Semiconductor, LLC Cell placement representation and transposition for integrated circuit physical design automation system
6171731, Jan 20 1999 Bell Semiconductor, LLC Hybrid aerial image simulation
6178360, Feb 05 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
6189132, Apr 09 1998 International Business Machines Corporation Design rule correction system and method
6205571, Dec 29 1998 International Business Machines Corporation X-Y grid tree tuning method
6208907, Jan 30 1998 International Business Machines Corporation Domino to static circuit technique
6209123, Nov 01 1996 Freescale Semiconductor, Inc Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
6223332, Jun 28 1996 Bell Semiconductor, LLC Advanced modular cell placement system with overlap remover with minimal noise
6225025, Feb 25 1998 Fujitsu Semiconductor Limited Fabrication process of a semiconductor device by electron-beam lithography
6230304, Dec 24 1997 MAGMA DESIGN AUTOMATION, INC Method of designing a constraint-driven integrated circuit layout
6237128, Oct 01 1997 International Business Machines Corporation Method and apparatus for enabling parallel layout checking of designing VLSI-chips
6237133, Mar 14 1997 NEC Electronics Corporation Mask pattern data creation method and system that are not subject to data stream data format limitations
6249597, Jul 17 1995 Sony Corporation Method of correcting mask pattern and mask, method of exposure, apparatus thereof, and photomask and semiconductor device using the same
6249902, Jan 09 1998 Cadence Design Systems, INC Design hierarchy-based placement
6249904, Apr 30 1999 Mentor Graphics Corporation Method and apparatus for submicron IC design using edge fragment tagging to correct edge placement distortion
6261728, Oct 19 1998 Vanguard International Semiconductor Corporation Mask image scanning exposure method
6269277, Jul 27 1998 The Leland Stanford Junior University Board of Trustees System and method for designing integrated circuits
6269280, Jan 19 1998 Renesas Electronics Corporation Semiconductor device and method of fabricating the same
6272236, Feb 24 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Inspection technique of photomask
6272392, Dec 04 1998 GLOBALFOUNDRIES Inc Methodology for extracting effective lens aberrations using a neural network
6275604, Mar 16 1998 Fujitsu Microelectronics Limited Method and apparatus for generating semiconductor exposure data
6275971, Sep 30 1997 NXP B V Methods and apparatus for design rule checking
6282693, Dec 16 1998 Synopsys, Inc Non-linear optimization system and method for wire length and density within an automatic electronic circuit placer
6282694, Jun 18 1999 Mentor Graphics Corporation IC design floorplan generation using ceiling and floor contours on an O-tree structure
6282696, Aug 15 1997 Bell Semiconductor, LLC Performing optical proximity correction with the aid of design rule checkers
6286126, Aug 30 1996 Synopsys, Inc Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits using best and worst case delay models for nets therein
6286128, Feb 11 1998 Synopsys, Inc Method for design optimization using logical and physical information
6292929, Jun 28 1996 Bell Semiconductor, LLC Advanced modular cell placement system
6301686, Mar 24 1998 NEC TOPPAN CIRCLE SOLUTIONS, INC Graphic layout compaction system capable of compacting a layout at once
6301692, Oct 17 1995 Matsushita Electric Industrial Co., Ltd. Method for designing layout of semiconductor integrated circuit, semiconductor integrated circuit obtained by the same method, and method for verifying timing thereof
6301693, Dec 16 1998 Synopsys, Inc Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer
6308143, Feb 21 1996 Matsushita Electric Industrial Co., Ltd. Layout input apparatus, layout input method, layout verification apparatus, and layout verification method
6311315, Sep 12 1996 Godo Kaisha IP Bridge 1 Semiconductor integrated circuit, design method and computer-readable recording medium
6317866, Aug 19 1997 NEC Electronics Corporation Method of preparing charged particle beam drawing data and recording medium on which program thereof is recorded
6321366,
6324673, Jan 14 1999 NEC Corporation Method and apparatus for edge-endpoint-based VLSI design rule checking
6335930, May 23 1997 SAMSUNG ELECTRONICS CO , LTD Multi-stage interconnection network for high speed packet switching
6336205, Nov 12 1998 SOCIONEXT INC Method for designing semiconductor integrated circuit
6339836, Aug 24 1998 Mentor Graphics Corporation Automated design partitioning
6340543, Oct 19 1999 RENESAS NAKA SEMICONDUCTOR CORPORATION; RENESAS SEMICONDUCTOR MANUFACTURING CO , LTD Photomask, manufacturing method thereof, and semiconductor device
6343370, Dec 05 1997 Renesas Electronics Corporation Apparatus and process for pattern distortion detection for semiconductor process and semiconductor device manufactured by use of the apparatus or process
6345210, Mar 08 1999 Advanced Micro Devices, Inc. Method of using critical dimension mapping to qualify a reticle used in integrated circuit fabrication
6349401, Sep 12 1996 Godo Kaisha IP Bridge 1 Semiconductor integrated circuit, design method and computer-readable medium using a permissive current ratio
6351841, Mar 21 2000 Cadence Design Systems, Inc. Method and apparatus for creating multi-gate transistors with integrated circuit polygon compactors
6357036, Oct 02 1998 Cirrus Logic, INC Computerized method and apparatus for designing wire bond diagrams and locating bond pads for a semiconductor device
6360356, Jan 30 1998 MAGMA DESIGN AUTOMATION, INC Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information
6370673, Mar 22 1999 Synopsys, Inc. Method and system for high speed detailed placement of cells within an integrated circuit design
6378114, Jul 01 1997 Synopsys, Inc.; Synopsys, Inc Method for the physical placement of an integrated circuit adaptive to netlist changes
6381731, Jan 19 1999 Mentor Graphics Corporation Placement based design cells injection into an integrated circuit design
6385758, Mar 24 1998 NEC Toppan Circuit Solutions, INC System and method for compacting a graphic layout
6388736, Nov 15 1999 ASML NETHERLANDS B V Imaging method using phase boundary masking with modified illumination
6393604, Nov 09 1998 NEC Electronics Corporation PROCESS FOR PREPARING DATA FOR DIRECT-WRITING BY A CHARGED PARTICLE RAY, PROCESS FOR VERIFYING DATA FOR DIRECT-WRITING BY A CHARGED PARTICLE RAY, PROCESS FOR DISPLAYING DATA FOR DIRECT-WRITING BY A CHARGED PARTICLE RAY, AND EXPOSURE DEVICE
6418553, Mar 12 1999 Kabushiki Kaisha Toshiba Circuit designing method for semiconductor device and computer-readable medium
6425112, Jun 17 1999 International Business Machines Corporation Auto correction of error checked simulated printed images
6425117, Mar 06 1995 Bell Semiconductor, LLC System and method for performing optical proximity correction on the interface between optical proximity corrected cells
6427225, Jul 27 1998 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for verification of a circuit layout
6436590, Sep 18 1996 SYNOPSYS MERGER HOLDINGS LLC Phase shifting circuit manufacture method and apparatus
6442743, Jun 12 1998 Synopsys, Inc Placement method for integrated circuit design using topo-clustering
6446239, Mar 10 1998 Synopsys, Inc Method and apparatus for optimizing electronic design
6449761, Mar 10 1998 Synopsys, Inc Method and apparatus for providing multiple electronic design solutions
6457158, Jun 11 1999 NEC Electronics Corporation Method and device for placing electrode for signal observation
6465138, Aug 19 1999 Micron Technology, Inc Method for designing and making photolithographic reticle, reticle, and photolithographic process
6469540, Jun 15 2000 NEC Corporation Reconfigurable device having programmable interconnect network suitable for implementing data paths
6470489, Sep 17 1997 SYNOPSYS MERGER HOLDINGS LLC Design rule checking system and method
6472107, Sep 30 1999 PHOTRONICS, INC Disposable hard mask for photomask plasma etching
6473882, Apr 14 2000 Matsushita Electric Industrial Co., Ltd. Method of layout compaction
6480995, Apr 15 1996 Altera Corporation Algorithm and methodology for the polygonalization of sparse circuit schematics
6496435, Jan 05 2001 TOSHIBA MEMORY CORPORATION Sense amplifier control circuit of semiconductor memory device
6505333, Mar 28 2000 Mitsubishi Denki Kabushiki Kaisha Automatic placement and routing of semiconductor integrated circuits
6507931, Jun 30 2000 Kabushiki Kaisha Toshiba Semiconductor integrated circuit designing method and system
6516450, Jan 03 2000 GLOBALFOUNDRIES Inc Variable design rule tool
6516458, Oct 13 1999 Matsushita Electric Industrial Co., Ltd. Layout structure for integrated circuit, method and system for generating layout for CMOS circuit
6524752, Jul 05 2000 SYNOPSYS MERGER HOLDINGS LLC Phase shift masking for intersecting lines
6526555, Jun 03 2001 Cadence Design Systems, INC Method for layout and manufacture of gridless non manhattan semiconductor integrated circuits using compaction
6536012, Aug 26 1999 SOCIONEXT INC Database for designing integrated circuit device, and method for designing integrated circuit device
6536023, Jul 03 2000 CADENCE DESIGN SYSTEMS INC Method and system for hierarchical metal-end, enclosure and exposure checking
6539533, Jun 20 2000 Frantorf Investments GmbH, LLC Tool suite for the rapid development of advanced standard cell libraries
6543042, Jan 20 2000 NEC Electronics Corporation Semiconductor integrated circuit with a reduced skew and layout method in design for semiconductor integrated circuit
6546540, Nov 17 1999 Kabushiki Kaisha Toshiba Method of automatic layout design for LSI, mask set and semiconductor integrated circuit manufactured by automatic layout design method, and recording medium storing automatic layout design program
6548417, Sep 19 2001 Intel Corporation In-situ balancing for phase-shifting mask
6550047, Oct 02 2000 ARM, INC Semiconductor chip input/output cell design and automated generation methods
6553338, Apr 27 1999 MAGMA DESIGN AUTOMATION, INC Timing optimization in presence of interconnect delays
6553554, Nov 15 2000 Synopsys, Inc Method and system for implementing a graphical user interface for depicting loose fly line interconnections between multiple blocks of an integrated circuit netlist
6553560, Apr 03 2001 SYNOPSYS MERGER HOLDINGS, LLC Alleviating line end shortening in transistor endcaps by extending phase shifters
6557153, Nov 15 2000 Synopsys, Inc Method and system for implementing a user interface for performing physical design operations on an integrated circuit netlist
6564363, Nov 15 2000 Synopsys, Inc Method and system for implementing a graphical user interface for defining and linking multiple attach points for multiple blocks of an integrated circuit netlist
6564364, Nov 15 2000 Synopsys, Inc Method and system for maintaining element abstracts of an integrated circuit netlist using a master library file and modifiable master library file
6569583, May 04 2001 SYNOPSYS MERGER HOLDINGS, LLC Method and apparatus for using phase shifter cutbacks to resolve phase shifter conflicts
6574779, Apr 12 2001 International Business Machines Corporation Hierarchical layout method for integrated circuits
6576147, Apr 14 2000 Matsushita Electric Industrial Co., Ltd. Method of layout compaction
6577994, Jul 02 1999 Mitsubishi Denki Kabushiki Kaisha Design rule generation system and recording medium recording program thereof
6578179, Sep 29 2000 Kabushiki Kaisha Toshiba LSI layout design apparatus, layout design method, recording medium recording layout design program, and semiconductor integrated circuit
6578190, Jan 11 2001 GLOBALFOUNDRIES U S INC Process window based optical proximity correction of lithographic images
6584599, Jun 12 2001 Renesas Electronics Corporation Apparatus and method of layout generation, and program thereof
6584610, Oct 25 2000 SYNOPSYS MERGER HOLDINGS LLC Incrementally resolved phase-shift conflicts in layouts for phase-shifted features
6587992, Jun 29 2001 Global IP Holdings LLC Two dimensional compaction system and method
6594811, Dec 03 1998 Walter M., Katz Routable high-density interfaces for integrated circuit devices
6608920, Oct 29 1998 Applied Materials, Inc Target acquisition technique for CD measurement machine
6625800, Dec 30 1999 Intel Corporation Method and apparatus for physical image based inspection system
6643616, Dec 07 1999 Mentor Graphics Corporation Integrated device structure prediction based on model curvature
6651235, Oct 30 2001 Cadence Design Systems, INC Scalable, partitioning integrated circuit layout system
6658640, Dec 26 2001 SYNOPSYS MERGER HOLDINGS LLC Simulation-based feed forward process control
6662348, Dec 16 1998 Synopsys, Inc. Non-linear optimization system and method for wire length and density within an automatic electronic circuit placer
6665854, Dec 04 2000 Matsushita Electric Industrial Co., Ltd. Method and apparatus of checking mount quality of circuit board
6665856, Dec 01 2000 SYNOPSYS MERGER HOLDINGS LLC Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
6668366, Aug 18 2000 Texas Instruments Incorporated System and method for processing a transistor channel layout
6670080, Jul 21 1998 Canon Kabushiki Kaisha Mask pattern creating method and mask pattern creating apparatus
6671859, Dec 16 1998 Synopsys, Inc. Non-linear optimization system and method for wire length and delay optimization for an automatic electronic circuit placer
6671867, Apr 11 2002 GLOBALFOUNDRIES U S INC Analytical constraint generation for cut-based global placement
6674678, Jan 05 2001 TOSHIBA MEMORY CORPORATION Sense amplifier control circuit of semiconductor memory device
6691297, Mar 04 1999 Panasonic Corporation Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
6701289, Jan 27 1997 Unisys Corporation Method and apparatus for using a placement tool to manipulate cell substitution lists
6709901, Mar 13 2000 SEMICONDUCTOR ENERGY LABORATORY CO , LTD Semiconductor device having stick drivers and a method of manufacturing the same
6727565, May 31 2001 Kioxia Corporation Manufacturing method for exposure mask, generating method for mask substrate information, mask substrate, exposure mask, manufacturing method for semiconductor device and server
6728943, Nov 24 2000 Renesas Electronics Corporation; NEC Electronics Corporation Semiconductor circuit extraction apparatus and method
6733929, Jul 05 2000 SYNOPSYS MERGER HOLDINGS LLC Phase shift masking for complex patterns with proximity adjustments
6735742, May 24 2000 Infineon Technologies AG Method for optimizing a cell layout using parameterizable cells and cell configuration data
6735749, Mar 21 2002 Oracle America, Inc (Design rule check)/(electrical rule check) algorithms using a system resolution
6745372, Apr 05 2002 SYNOPSYS MERGER HOLDINGS, LLC Method and apparatus for facilitating process-compliant layout optimization
6749971, Dec 11 2001 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with chrome border around phase 180 regions
6751519, Oct 25 2001 KLA-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
6756242, Jun 21 1999 Method of modifying an integrated circuit
6757878, Dec 31 2001 Intel Corporation Method and apparatus for layout synthesis of regular structures using relative placement
6757886, Nov 13 2001 Meta Platforms, Inc Alternating phase shift mask design with optimized phase shapes
6759698, Jan 23 2002 Renesas Electronics Corporation; NEC Electronics Corporation Semiconductor integrated circuit
6763508, Apr 13 2001 Kabushiki Kaisha Toshiba Layout design system, layout design method and layout design program of semiconductor integrated circuit, and method of manufacturing the same
6766500, Dec 06 2001 Synopsys, Inc.; Synopsys, Inc Multiple pass optimization for automatic electronic circuit placement
6774899, Sep 18 1997 Mitsubishi Electric Research Laboratories, Inc Drawing graphs using user selectable visual oragnization features and/or other user controlled constraints
6777147, May 21 2003 GLOBALFOUNDRIES U S INC Method for evaluating the effects of multiple exposure processes in lithography
6785879, Jun 11 2002 SYNOPSYS MERGER HOLDINGS LLC Model-based data conversion
6787271, Jul 05 2000 SYNOPSYS MERGER HOLDINGS LLC Design and layout of phase shifting photolithographic masks
6787459, Dec 03 2001 Renesas Electronics Corporation Method for fabricating a semiconductor device
6789246, Apr 07 2002 Synopsys, Inc Method and apparatus for automatic layout of circuit structures
6791128, Oct 26 2001 PANASONIC SEMICONDUCTOR SOLUTIONS CO , LTD Semiconductor integrated circuit device and method for designing the same
6792586, Jul 23 2002 Oracle America, Inc Correction of spacing violations between wide class objects of dummy geometries
6794677, Oct 02 2000 Godo Kaisha IP Bridge 1 Semiconductor integrated circuit device and method for fabricating the same
6802050, Apr 07 2002 Synopsys, Inc Efficient layout strategy for automated design layout tools
6804808, Sep 30 2002 Oracle America, Inc Redundant via rule check in a multi-wide object class design layout
6806499, Mar 13 2000 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
6811935, Jul 05 2000 SYNOPSYS MERGER HOLDINGS LLC Phase shift mask layout process for patterns including intersecting line segments
6813756, Nov 17 1999 Kabushiki Kaisha Toshiba Method of automatic layout design for LSI, mask set and semiconductor integrated circuit manufactured by automatic layout design method, and recording medium storing automatic layout design program
6816998, Jul 23 2002 Oracle America, Inc Correction of spacing violations between dummy geometries and wide class objects of design geometries
6829380, Apr 28 2000 GLOBALFOUNDRIES Inc Optimization of OPC design factors utilizing an advanced algorithm on a low voltage CD-SEM system
6832360, Sep 30 2002 Oracle America, Inc Pure fill via area extraction in a multi-wide object class design layout
6832364, Oct 03 2002 GLOBALFOUNDRIES Inc Integrated lithographic layout optimization
6834380, Aug 03 2000 Qualcomm, Incorporated; QUALCOMM INCORPORATED, A DELAWARE CORPORATION Automated EMC-driven layout and floor planning of electronic devices and systems
6839470, Jan 27 2000 Kabushiki Kaisha Toshiba Pattern evaluation method, pattern evaluation system and computer readable recorded medium
6846596, Mar 08 2001 SYNOPSYS MERGER HOLDINGS LLC Alternating phase shift masking for multiple levels of masking resolution
6852471, Jun 08 2001 SYNOPSYS MERGER HOLDINGS LLC Exposure control for phase shifting photolithographic masks
6861183, Nov 13 2002 Bell Semiconductor, LLC Scatter dots
6862726, Jul 31 2002 Fujitsu Semiconductor Limited Light intensity simulation method, program product, and designing method of photomask
6866971, Sep 26 2000 SYNOPSYS MERGER HOLDINGS LLC Full phase shifting mask in damascene process
6871332, Jul 23 2002 Oracle America, Inc Structure and method for separating geometries in a design layout into multi-wide object classes
6871338, Nov 05 2001 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for designing the same
6874133, Dec 04 2002 Cadence Design Systems, INC Integrated circuit design layout compaction method
6877145, Feb 28 2001 VALTRUS INNOVATIONS LIMITED Automatic generation of interconnect logic components
6880134, Apr 09 2003 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for improving capacitor noise and mismatch constraints in a semiconductor device
6882012, Feb 28 2000 SEMICONDUCTOR ENGERGY LABORATORY CO , LTD Semiconductor device and a method of manufacturing the same
6883149, Sep 30 2002 Oracle America, Inc Via enclosure rule check in a multi-wide object class design layout
6883153, Jan 10 2003 Intel Corporation Minimization of microelectronic interconnect thickness variations
6892363, Jul 23 2002 Oracle America, Inc Correction of width violations of dummy geometries
6892368, Jun 10 2002 Oracle America, Inc Patching technique for correction of minimum area and jog design rule violations
6901576, Nov 20 2002 International Business Machines Corporation Phase-width balanced alternating phase shift mask design
6904571, Apr 15 1996 Altera Corporation Algorithm and methodology for the polygonalization of sparse circuit schematics
6909330, Apr 07 2002 Synopsys, Inc Automatic phase lock loop design using geometric programming
6912704, Dec 31 2002 CADENCE DESIGN SYSTEMS INC Method and system for floor planning non Manhattan semiconductor integrated circuits
6925202, Mar 20 2001 SYNOPSYS MERGER HOLDINGS LLC System and method of providing mask quality control
6928015, Jun 25 2002 Renesas Electronics Corporation Thin film magnetic memory device and semiconductor integrated circuit device including the same as one of circuit blocks
6928634, Jan 02 2003 Siemens Industry Software Inc Matrix optical process correction
6931613, Jun 24 2002 Siemens Industry Software Inc Hierarchical feature extraction for electrical interaction calculations
6938231, Feb 28 2001 NEC Corporation Method and system for designing circuit layout
6948145, Jun 20 2000 Frantorf Investments GmbH, LLC Tool suite for the rapid development of advanced standard cell libraries employing the connection properties of nets to identify potential pin placements
6954911, May 01 2002 SYNOPSYS MERGER HOLDINGS LLC Method and system for simulating resist and etch edges
6954921, Mar 05 2002 Synopsys, Inc Method and apparatus for automatic analog/mixed signal system design using geometric programming
6957400, May 30 2003 Cadence Design Systems, INC Method and apparatus for quantifying tradeoffs for multiple competing goals in circuit design
6957411, Jun 03 2001 CADENCE DESIGN SYSTEMS, INC A DELAWARE CORPORATION Gridless IC layout and method and apparatus for generating such a layout
6961545, Apr 09 2001 Qualcomm Incorporated Method and system for providing antenna diversity
6961916, Jun 12 1998 Synopsys, Inc Placement method for integrated circuit design using topo-clustering
6961920, Sep 18 2003 GLOBALFOUNDRIES Inc Method for interlayer and yield based optical proximity correction
6970759, Apr 11 2000 CENTRE NATIONAL D ETUDES SPATIALES C N E S Method and device for automatic optimal location of an operation on an integrated circuit
6971080, Jan 19 1999 Mentor Graphics Corporation Placement based design cells injection into an integrated circuit design
6978438, Oct 01 2003 Advanced Micro Devices, Inc. Optical proximity correction (OPC) technique using generalized figure of merit for photolithograhic processing
6983440, Jan 14 2003 Cadence Design Systems, Inc. Shape abstraction mechanism
6986109, May 15 2003 International Business Machines Corporation Practical method for hierarchical-preserving layout optimization of integrated circuit layout
6989687, Mar 10 2000 Intel Corporation Customizable and programmable cell array
6992290, Jan 10 2001 Ebara Corporation Electron beam inspection system and inspection method and method of manufacturing devices using the system
6993741, Jul 15 2003 GLOBALFOUNDRIES Inc Generating mask patterns for alternating phase-shift mask lithography
6996790, Jan 30 2003 SYNOPSYS MERGER HOLDINGS LLC System and method for generating a two-dimensional yield map for a full layout
7003758, Oct 07 2003 ASML NETHERLANDS B V System and method for lithography simulation
7007258, Jun 13 2003 Oracle America, Inc Method, apparatus, and computer program product for generation of a via array within a fill area of a design layout
7010770, Apr 04 2003 Taiwan Semiconductor Manufacturing Co., Ltd. Method of wide wire identification
7013439, Jan 31 2002 Siemens Industry Software Inc Contrast based resolution enhancing technology
7013445, Dec 31 2002 Cadence Design Systems, INC Post processor for optimizing manhattan integrated circuits placements into non manhattan placements
7018788, Feb 14 2003 United Microelectronics Corp. Phase shifting lithographic process
7024655, Apr 30 1999 Mixed-mode optical proximity correction
7028272, Jan 03 2002 Texas Instruments Incorporated Reducing cell library development cycle time
7028285, Jul 05 2000 SYNOPSYS MERGER HOLDINGS LLC Standard cell design incorporating phase information
7036103, Oct 14 1999 Synopsys, Inc. Detailed placer for optimizing high density cell placement in a linear runtime
7039881, Dec 08 1999 Modification of integrated circuits
7043071, Sep 13 2002 SYNOPSYS MERGER HOLDINGS LLC Soft defect printability simulation and analysis for masks
7058913, Sep 06 2001 Cadence Design Systems Analytical placement method and apparatus
7065727, Apr 25 2001 Synopsys, Inc Optimal simultaneous design and floorplanning of integrated circuit
7065729, Oct 19 1998 Approach for routing an integrated circuit
7069534, Dec 17 2003 Siemens Industry Software Inc Mask creation with hierarchy management using cover cells
7073144, Apr 15 2004 TWITTER, INC Stability metrics for placement to quantify the stability of placement algorithms
7076746, Aug 04 2003 Bell Semiconductor, LLC Method and apparatus for mapping platform-based design to multiple foundry processes
7080349, Apr 05 2004 Advanced Micro Devices, Inc. Method of developing optimized optical proximity correction (OPC) fragmentation script for photolithographic processing
7083879, Jun 08 2001 SYNOPSYS MERGER HOLDINGS LLC Phase conflict resolution for photolithographic masks
7089519, Dec 31 2002 CADENCE DESIGN SYSTEMS, INC Method and system for performing placement on non Manhattan semiconductor integrated circuits
7093205, Apr 10 2002 Synopsys, Inc Method and apparatus for efficient semiconductor process evaluation
7096449, Jan 22 2002 Cadence Design Systems, INC Layouts with routes with different widths in different directions on the same layer, and method and apparatus for generating such layouts
7098530, Jun 12 2003 AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE LIMITED Package for a high-frequency electronic device
7100129, Jan 14 2003 Cadence Design Systems, Inc. Hierarchical gcell method and mechanism
7100134, Aug 18 2003 RPX Corporation Method and platform for integrated physical verifications and manufacturing enhancements
7103864, Nov 01 2002 United Microelectronics Corp Semiconductor device, and design method, inspection method, and design program therefor
7103870, Mar 04 1999 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
7109730, Sep 19 2002 Scanimetrics Inc. Non-contact tester for electronic circuits
7111276, Feb 05 2004 SYNOPSYS MERGER HOLDINGS LLC Correcting 3D effects in phase shifting masks using sub-resolution features
7115343, Mar 10 2004 GLOBALFOUNDRIES Inc Pliant SRAF for improved performance and manufacturability
7117456, Dec 03 2003 International Business Machines Corporation Circuit area minimization using scaling
7117468, Jan 22 2002 Cadence Design Systems, INC Layouts with routes with different spacings in different directions on the same layer, and method and apparatus for generating such layouts
7120887, Jan 16 2004 International Business Machines Corporation Cloned and original circuit shape merging
7124385, Sep 20 2002 SHENZHEN XINGUODU TECHNOLOGY CO , LTD Method for automated transistor folding
7124386, Jun 07 2002 Cadence Design Systems, INC Dummy fill for integrated circuits
7128270, Feb 17 2003 Silverbrook Research Pty LTD Scanning device for coded data
7132203, Jul 05 2000 SYNOPSYS MERGER HOLDINGS LLC Phase shift masking for complex patterns with proximity adjustments
7134102, Sep 12 2003 Polaris Innovations Limited Automated layout transformation system and method
7134111, May 22 2003 Renesas Electronics Corporation Layout method and apparatus for arrangement of a via offset from a center axis of a conductor and semiconductor device thereof
7147976, Feb 28 2003 International Business Machines Corporation Binary OPC for assist feature layout optimization
7175942, Feb 05 2004 International Business Machines Corporation Method of conflict avoidance in fabrication of gate-shrink alternating phase shifting masks
7178128, Jul 13 2001 SYNOPSYS MERGER HOLDINGS LLC Alternating phase shift mask design conflict resolution
7184015, Jun 15 2001 Seiko Epson Corporation Line drive circuit, electro-optic device, and display device
7187796, Oct 01 2003 GLOBALFOUNDRIES Inc Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
7188322, Feb 25 2005 International Business Machines Corporation Circuit layout methodology using a shape processing application
7194725, Apr 02 2004 GLOBALFOUNDRIES Inc System and method for design rule creation and selection
7200827, May 14 2003 Apex Design Systems, Inc.; APEX DESIGN SYSTEMS, INC Chip-area reduction and congestion alleviation by timing-and-routability-driven empty-space propagation
7200835, Feb 24 2005 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
7205191, May 14 2003 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of designing the same
7216320, Nov 06 2003 Cadence Design Systems, INC Delta-geometry timing prediction in integrated circuit fabrication
7225422, Jun 19 2003 International Business Machines Corporation Wire trimmed programmable logic array
7228523, Jan 20 2004 Renesas Electronics Corporation Method of automatically correcting mask pattern data and program for the same
7242591, Oct 08 2002 DAI NIPPON PRINTING CO , LTD Wiring board incorporating components and process for producing the same
7246342, Jul 26 2002 ASML NETHERLANDS B V Orientation dependent shielding for use with dipole illumination techniques
7257783, Oct 29 2004 GLOBALFOUNDRIES Inc Technology migration for integrated circuits with radical design restrictions
7266800, Jun 04 2004 Cadence Design Systems, INC Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
7266801, Dec 17 2003 Kioxia Corporation Design pattern correction method and mask pattern producing method
7269803, Dec 18 2003 Bell Semiconductor, LLC System and method for mapping logical components to physical locations in an integrated circuit design environment
7269809, Jun 23 2004 Cisco Technology, Inc Integrated approach for design, simulation and verification of monolithic, silicon-based opto-electronic circuits
7276714, Apr 30 2004 IMS Nanofabrication GmbH Advanced pattern definition for particle-beam processing
7284231, Dec 21 2004 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Layout modification using multilayer-based constraints
7294056, Dec 23 2002 FORTUNET, INC Enhanced gaming system
7296252, Jul 22 2004 GLOBALFOUNDRIES Inc Clustering techniques for faster and better placement of VLSI circuits
7299459, Jan 19 2000 Synopsys, Inc Parser for signomial and geometric programs
7302651, Oct 29 2004 GLOBALFOUNDRIES Inc Technology migration for integrated circuits with radical design restrictions
7303842, Apr 13 2005 KLA-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
7304544, Apr 07 2002 Synopsys, Inc Automatic phase lock loop design using geometric programming
7308660, Jan 16 2003 Kabushiki Kaisha Toshiba Calculation system of fault coverage and calculation method of the same
7310786, Feb 03 2005 Synopsys, Inc IC compaction system
7313014, Jun 25 2002 Renesas Electronics Corporation Thin film magnetic memory device and semiconductor integrated circuit device including the same as one of circuit blocks
7313508, Dec 27 2002 Bell Semiconductor, LLC Process window compliant corrections of design layout
7313769, Mar 01 2004 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
7315994, Dec 22 2003 International Business Machines Corporation Method and device for automated layer generation for double-gate FinFET designs
7337379, Jun 11 2002 Fujitsu Limited Apparatus and method for diagnosing integrated circuit
7337426, Apr 28 2004 Kabushiki Kaisha Toshiba Pattern correcting method, mask making method, method of manufacturing semiconductor device, pattern correction system, and computer-readable recording medium having pattern correction program recorded therein
7345888, Oct 08 2002 Dai Nippon Printing Co., Ltd. Component built-in wiring board and manufacturing method of component built-in wiring board
7346865, Nov 01 2004 Synopsys, Inc. Fast evaluation of average critical area for IC layouts
7350174, Dec 31 2001 Intel Corporation Method and apparatus for layout synthesis of regular structures using relative placement
7351969, Jan 10 2001 TOSHIBA MEMORY CORPORATION Electron beam inspection system and inspection method and method of manufacturing devices using the system
7356795, Oct 26 2001 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for designing the same
7360191, Nov 06 2003 Cadence Design Systems, INC Delta information design closure integrated circuit fabrication
7363601, Oct 15 2004 GLOBALFOUNDRIES Inc Integrated circuit selective scaling
7368738, Apr 30 2004 Carl Zeiss SMT GmbH Advanced pattern definition for particle-beam exposure
7370303, Sep 27 2002 Infineon Technologies AG Method for determining the arrangement of contact areas on the active top side of a semiconductor chip
7370403, Jun 06 2000 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a planar spiral inductor structure having an enhanced Q value
7380227, Oct 28 2005 Oracle America, Inc Automated correction of asymmetric enclosure rule violations in a design layout
7383527, Sep 27 2004 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit manufacturing method and semiconductor integrated circuit manufacturing apparatus
7385988, Feb 28 2005 Cisco Technology, Inc. Method and apparatus for limiting VPNv4 prefixes per VPN in an inter-autonomous system environment
7401312, Dec 11 2003 GLOBALFOUNDRIES Inc Automatic method for routing and designing an LSI
7404165, Mar 04 1999 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
7404168, Oct 14 1999 Synopsys, Inc. Detailed placer for optimizing high density cell placement in a linear runtime
7421676, Sep 15 2004 Chartered Semiconductor Manufacturing Ltd. System and method for phase shift assignment
7437691, Apr 11 2006 GLOBALFOUNDRIES U S INC VLSI artwork legalization for hierarchical designs with multiple grid constraints
7440449, Oct 06 2000 NYTELL SOFTWARE LLC High speed switching module comprised of stacked layers incorporating t-connect structures
7446352, Mar 09 2006 RPX Corporation Dynamic array architecture
7448012, Apr 21 2004 IYM Technologies LLC Methods and system for improving integrated circuit layout
7454721, Feb 27 2006 International Business Machines Corporation Method, apparatus and computer program product for optimizing an integrated circuit layout
7464356, Dec 15 2005 GLOBALFOUNDRIES Inc Method and apparatus for diffusion based cell placement migration
7467369, Oct 30 2006 GLOBALFOUNDRIES Inc Constrained detailed placement
7470489, Aug 18 2004 GLOBALFOUNDRIES Inc Method for designing alternating phase shift masks
7484197, Apr 14 2006 GLOBALFOUNDRIES Inc Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
7484199, May 16 2006 GLOBALFOUNDRIES U S INC Buffer insertion to reduce wirelength in VLSI circuits
7493581, Sep 06 2001 Cadence Design Systems, Inc. Analytical placement method and apparatus
7506295, Dec 31 2002 Cadence Design Systems, INC Non manhattan floor plan architecture for integrated circuits
7512927, Nov 02 2006 GLOBALFOUNDRIES Inc Printability verification by progressive modeling accuracy
7515186, Feb 17 2003 Silverbrook Research Pty LTD Pixel sensor
7516433, Jan 14 2003 Cadence Design Systems, Inc. Non-orthogonal structures and space tiles for layout, placement, and routing of an integrated circuit
7523429, Feb 20 2004 Applied Materials, Inc System for designing integrated circuits with enhanced manufacturability
7530038, Oct 07 2005 GLOBALFOUNDRIES Inc Method and placement tool for designing the layout of an electronic circuit
7533359, May 20 2005 Cadence Design Systems, Inc. Method and system for chip design using physically appropriate component models and extraction
7543252, Mar 28 2007 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
7549137, Dec 14 2006 GLOBALFOUNDRIES U S INC Latch placement for high performance and low power circuits
7567279, Feb 17 2003 Silverbrook Research Pty LTD Image sensor timing circuit
7569838, Jan 10 2001 Ebara Corporation Electron beam inspection system and inspection method and method of manufacturing devices using the system
7577049, Aug 08 2006 RPX Corporation Speculative sense enable tuning apparatus and associated methods
7579214, Feb 28 2000 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
7581197, May 26 2005 Synopsys, Inc. Relative positioning of circuit elements in circuit design
7586800, Aug 08 2006 RPX Corporation Memory timing apparatus and associated methods
7587696, May 22 2003 Renesas Electronics Corporation Semiconductor device, layout method and apparatus and program
7588868, Oct 06 2004 Cadence Design Systems, INC Method and system for reducing the impact of across-wafer variations on critical dimension measurements
7590968, Mar 01 2006 RPX Corporation Methods for risk-informed chip layout generation
7596420, Jun 19 2006 ASML NETHERLANDS B V Device manufacturing method and computer program product
7605940, Feb 17 2003 Silverbrook Research Pty LTD Sensing device for coded data
7610565, Oct 29 2004 GLOBALFOUNDRIES Inc Technology migration for integrated circuits with radical design restrictions
7624364, May 02 2007 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
7624366, Oct 31 2006 GLOBALFOUNDRIES Inc Clock aware placement
7653884, Jun 29 2005 CALLAHAN CELLULAR L L C Methods and systems for placement
7669160, Jun 29 2005 CALLAHAN CELLULAR L L C Methods and systems for placement
7669161, Jun 22 2007 Synopsys, Inc. Minimizing effects of interconnect variations in integrated circuit designs
7669170, Feb 25 2005 International Business Machines Corporation Circuit layout methodology using via shape process
7673260, Oct 24 2005 Cadence Design Systems, INC Modeling device variations in integrated circuit design
7695871, Oct 06 2005 Synopsys, Inc.; Synopsys, Inc Notched trim mask for phase shifting mask
7698665, Apr 06 2003 Synopsys, Inc Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
7698676, Nov 10 2005 Qi-De, Qian Method and system for improving manufacturability of integrated devices
7703059, May 22 2006 Bell Semiconductor, LLC Method and apparatus for automatic creation and placement of a floor-plan region
7712064, May 20 2005 Cadence Design Systems, INC Manufacturing aware design of integrated circuit layouts
7712068, Feb 17 2006 Siemens Industry Software Inc Computation of electrical properties of an IC layout
7716614, Jun 24 2002 Siemens Industry Software Inc Hierarchical feature extraction for electrical interaction calculations
7716624, Dec 17 2003 Siemens Industry Software Inc Mask creation with hierarchy management using cover cells
7721235, Jun 28 2006 Cadence Design Systems, INC Method and system for implementing edge optimization on an integrated circuit design
7735042, Aug 01 2007 International Business Machines Corporation Context aware sub-circuit layout modification
7739642, May 02 2007 Cadence Design Systems, Inc. Optimizing integrated circuit design through balanced combinational slack plus sequential slack
7743354, May 02 2007 Cadence Design Systems, Inc. Optimizing integrated circuit design through use of sequential timing information
7752588, Jun 29 2005 CALLAHAN CELLULAR L L C Timing driven force directed placement flow
7760259, Feb 17 2003 Silverbrook Research Pty LTD Pixel sensor
7761818, Jul 25 2007 International Business Machines Corporation Obtaining a feasible integer solution in a hierarchical circuit layout optimization
7761821, Oct 29 2004 GLOBALFOUNDRIES Inc Technology migration for integrated circuits with radical design restrictions
7763534, Oct 26 2007 RPX Corporation Methods, structures and designs for self-aligning local interconnects used in integrated circuits
7765498, May 24 2007 XILINX, Inc. Methods of incorporating process-induced layout dimension changes into an integrated circuit simulation netlist
7774732, Aug 14 2007 GLOBALFOUNDRIES Inc Method for radiation tolerance by automated placement
7784015, Jul 05 2005 Texas Instruments Incorporated Method for generating a mask layout and constructing an integrated circuit
7788627, Oct 03 2005 Synopsys, Inc Lithography verification using guard bands
7793253, Oct 04 2005 Synopsys, Inc Mask-patterns including intentional breaks
7814447, Dec 29 2006 Cadence Design Systems, INC Supplant design rules in electronic designs
7814451, Jun 29 2005 CALLAHAN CELLULAR L L C Incremental relative slack timing force model
7842975, Mar 09 2006 RPX Corporation Dynamic array architecture
7853915, Jun 24 2008 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
7879538, Sep 24 2003 Cadence Design Systems, INC Frequency division multiplexing (FDM) lithography
7882463, Oct 15 2004 GLOBALFOUNDRIES Inc Integrated circuit selective scaling
7888705, Aug 02 2007 RPX Corporation Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
7895562, Dec 18 2007 Siemens Industry Software Inc Adaptive weighting method for layout optimization with multiple priorities
7904862, Dec 26 2007 Cadence Design Systems, INC Method and mechanism for performing clearance-based zoning
7906801, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions
7908573, Jun 22 2007 Synopsys, Inc. Minimizing effects of interconnect variations in integrated circuit designs
7908578, Aug 02 2007 RPX Corporation Methods for designing semiconductor device with dynamic array section
7910958, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment
7910959, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level
7913210, May 02 2007 Cadence Design Systems, Inc. Reducing critical cycle delay in an integrated circuit design through use of sequential slack
7917879, Aug 02 2007 RPX Corporation Semiconductor device with dynamic array section
7917885, Jun 27 2005 RPX Corporation Methods for creating primitive constructed standard cells
7921392, Jun 29 2005 CALLAHAN CELLULAR L L C Node spreading via artificial density enhancement to reduce routing congestion
7921393, Jun 29 2005 CALLAHAN CELLULAR L L C Tunneling as a boundary congestion relief mechanism
7923757, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level
7924042, Nov 01 2002 United Microelectronics Corp Semiconductor device, and design method, inspection method, and design program therefor
7932544, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions
7932545, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
7934188, Apr 24 2008 Siemens Industry Software Inc Legalization of VLSI circuit placement with blockages using hierarchical row slicing
7937682, Jan 31 2008 Synopsys, Inc Method and apparatus for automatic orientation optimization
7939443, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
7941768, Jan 11 2006 oLambda, Inc Photolithographic process simulation in integrated circuit design and manufacturing
7943436, Jul 29 2002 SYNOPSYS, INC , A DELAWARE CORPORATION Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
7943966, Mar 09 2006 RPX Corporation Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment
7943967, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
7948012, Mar 09 2006 RPX Corporation Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment
7948013, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch
7952119, Mar 09 2006 RPX Corporation Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
7956421, Mar 13 2008 RPX Corporation Cross-coupled transistor layouts in restricted gate level layout architecture
7962879, Apr 11 2006 GLOBALFOUNDRIES Inc VLSI artwork legalization for hierarchical designs with multiple grid constraints
7979829, Feb 20 2007 RPX Corporation Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
7984410, Jul 27 2007 Synopsys, Inc Hierarchy-based analytical placement method for an integrated circuit
7989847, Mar 09 2006 RPX Corporation Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths
7989848, Mar 09 2006 RPX Corporation Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground
7990450, Feb 17 2003 Silverbrook Research Pty LTD Photodetecting circuit
7992122, Mar 25 2005 GG TECHNOLOGY, INC Method of placing and routing for power optimization and timing closure
7994545, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
7995183, Mar 13 2000 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
8022441, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level
8023020, Feb 17 2003 Silverbrook Research Pty Ltd. Pixel sensor with voltage compensator
8028252, Sep 14 2007 Dino Technology Acquisition LLC Technique for determining mask patterns and write patterns
8030689, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment
8035133, Mar 09 2006 RPX Corporation Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch
8037441, Sep 25 2008 Mentor Graphics Corporation Gridded-router based wiring on a non-gridded library
8058671, Mar 09 2006 RPX Corporation Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch
8058691, Mar 13 2008 RPX Corporation Semiconductor device including cross-coupled transistors formed from linear-shaped gate level features
8069429, Oct 14 1999 Synopsys, Inc. Detailed placer for optimizing high density cell placement in a linear runtime
8072003, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures
8088679, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment
8088680, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch
8088681, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment
8088682, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
8089098, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment
8089099, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch
8089100, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes
8089101, Mar 09 2006 RPX Corporation Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
8089102, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
8089103, Mar 09 2006 RPX Corporation Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type
8089104, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size
8091059, Dec 15 2005 GLOBALFOUNDRIES Inc Method for diffusion based cell placement migration
8095894, Mar 04 1999 Panasonic Corporation Changing a design rule for forming LSI pattern based on evaluating effectiveness of optical proximity corrected patterns
8099693, Nov 04 2008 Cadence Design Systems, INC Methods, systems, and computer program product for parallelizing tasks in processing an electronic circuit design
8099702, Jul 30 2008 Synopsys, Inc Method and apparatus for proximate placement of sequential cells
8101975, Mar 09 2006 RPX Corporation Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type
8103983, Nov 12 2008 Siemens Industry Software Inc Electrically-driven optical proximity correction to compensate for non-optical effects
8108819, Apr 08 2009 Siemens Industry Software Inc Object placement in integrated circuit design
8110854, Mar 09 2006 RPX Corporation Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels
8112732, Dec 15 2005 GLOBALFOUNDRIES Inc System and computer program product for diffusion based cell placement migration
8129750, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length
8129751, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances
8129752, Mar 09 2006 RPX Corporation Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes
8129753, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion
8129754, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends
8129755, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor
8129756, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures
8129757, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
8129819, Mar 09 2006 RPX Corporation Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
8131943, Jul 09 2007 International Business Machines Corporation Structure for dynamic initial cache line coherency state assignment in multi-processor systems
8134183, Mar 09 2006 RPX Corporation Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size
8134184, Mar 09 2006 RPX Corporation Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion
8134185, Mar 09 2006 RPX Corporation Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends
8134186, Mar 09 2006 RPX Corporation Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length
8136168, May 15 2006 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for design-for-manufacturability data encryption
8138525, Mar 09 2006 RPX Corporation Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor
8163190, Oct 04 2007 Hynix Semiconductor Inc. Method for fabricating a fine pattern
8176445, Apr 15 2005 IYM Technologies LLC Method and system for optimizing integrated circuit layout
8198656, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
8200570, Oct 29 2002 EBS Group Limited Electronic trading system having increased liquidity provision
8207053, Mar 09 2006 RPX Corporation Electrodes of transistors with at least two linear-shaped conductive structures of different length
8214778, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
8217428, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
8225239, Mar 09 2006 RPX Corporation Methods for defining and utilizing sub-resolution features in linear topology
8225248, Oct 24 2005 Cadence Design Systems, INC Timing, noise, and power analysis of integrated circuits
8225261, Mar 09 2006 RPX Corporation Methods for defining contact grid in dynamic array architecture
8234612, Aug 25 2010 GLOBALFOUNDRIES U S INC Cone-aware spare cell placement using hypergraph connectivity analysis
8239792, May 26 2005 Synopsys, Inc. Relative positioning of circuit elements in circuit design
8245171, Nov 15 2008 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing interactive cross-domain package driven I/O planning and placement optimization
8245180, Mar 09 2006 RPX Corporation Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
8247846, Mar 09 2006 RPX Corporation Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
8253172, Mar 09 2006 RPX Corporation Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region
8253173, Mar 09 2006 RPX Corporation Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region
8258547, Mar 09 2006 RPX Corporation Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts
8258548, Mar 09 2006 RPX Corporation Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region
8258549, Mar 09 2006 RPX Corporation Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length
8258550, Mar 09 2006 RPX Corporation Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact
8258551, Mar 09 2006 RPX Corporation Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction
8258552, Mar 09 2006 RPX Corporation Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends
8258581, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors with two transistors of different type formed by same gate level structure and two transistors of different type formed by separate gate level structures
8261217, Jan 26 2007 Kabushiki Kaisha Toshiba Pattern forming method and pattern verifying method
8261223, Jul 07 2008 Synopsys, Inc Hierarchy-based analytical placement method capable of macro rotation within an integrated circuit
8264007, Mar 09 2006 RPX Corporation Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances
8264008, Mar 09 2006 RPX Corporation Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size
8264009, Mar 09 2006 RPX Corporation Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length
8264044, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having two complementary pairs of co-aligned gate electrodes with offset contacting structures positioned between transistors of different type
8264049, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
8266557, Apr 21 2004 IYM Technologies LLC Method and system for direction dependent integrated circuit layout
8266566, Sep 10 2010 GLOBALFOUNDRIES Inc Stability-dependent spare cell insertion
8271920, Aug 25 2010 GLOBALFOUNDRIES U S INC Converged large block and structured synthesis for high performance microprocessor designs
8274099, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
8283701, Aug 02 2007 RPX Corporation Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
8286107, Feb 20 2007 RPX Corporation Methods and systems for process compensation technique acceleration
8296706, Apr 26 2010 GLOBALFOUNDRIES Inc Handling two-dimensional constraints in integrated circuit layout
8302062, Jul 25 2007 GLOBALFOUNDRIES Inc Methods to obtain a feasible integer solution in a hierarchical circuit layout optimization
8307316, May 02 2007 Cadence Design Systems, Inc. Reducing critical cycle delay in an integrated circuit design through use of sequential slack
8316335, Dec 09 2010 GLOBALFOUNDRIES Inc Multistage, hybrid synthesis processing facilitating integrated circuit layout
8332793, May 18 2006 CALLAHAN CELLULAR L L C Methods and systems for placement and routing
8347257, Jun 08 2010 GLOBALFOUNDRIES Inc Detailed routability by cell placement
8352887, Dec 03 2010 Synopsys, Inc High performance design rule checking technique
8356268, Aug 02 2007 RPX Corporation Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings
8359556, Jun 29 2011 GLOBALFOUNDRIES U S INC Resolving double patterning conflicts
8365107, Jan 18 2007 Nikon Corporation; NIKON PRECISION INC Scanner based optical proximity correction system and method of use
8365120, Dec 02 2010 GLOBALFOUNDRIES Inc Resolving global coupling timing and slew violations for buffer-dominated designs
8370782, Jun 25 2010 GLOBALFOUNDRIES Inc Buffer-aware routing in integrated circuit design
8395224, Mar 13 2008 RPX Corporation Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes
8405162, Mar 13 2008 RPX Corporation Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region
8405163, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
8418108, Jun 17 2011 GLOBALFOUNDRIES Inc Accuracy pin-slew mode for gate delay calculation
8423940, Aug 15 2011 GLOBALFOUNDRIES Inc Early noise detection and noise aware routing in circuit design
8423941, Aug 08 2011 GLOBALFOUNDRIES Inc Structural migration of integrated circuit layout
8434035, May 26 2005 Synopsys, Inc. Relative positioning of circuit elements in circuit design
8436400, Mar 09 2006 RPX Corporation Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length
8448097, Dec 03 2010 Synopsys, Inc.; Synopsys, Inc High performance DRC checking algorithm for derived layer based rules
8448102, Mar 09 2006 RPX Corporation Optimizing layout of irregular structures in regular layout context
8453093, Oct 17 2011 GLOBALFOUNDRIES Inc Alignment net insertion for straightening the datapath in a force-directed placer
8453094, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
8453103, Dec 03 2010 Synopsys, Inc Real time DRC assistance for manual layout editing
8464187, Apr 21 2004 IYM Technologies LLC Integrated circuit having direction dependent wiring
8464189, Oct 29 2004 GLOBALFOUNDRIES Inc Technology migration for integrated circuits with radical design restrictions
8471391, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
8473874, Aug 22 2011 Cadence Design Systems, Inc.; Cadence Design Systems, INC Method and apparatus for automatically fixing double patterning loop violations
8473881, Jan 17 2011 XILINX, Inc. Multi-resource aware partitioning for integrated circuits
8490034, Jul 08 2010 D2S, Inc Techniques of optical proximity correction using GPU
8495534, Jun 08 2010 International Business Machines Corporation Post-placement cell shifting
8495548, Sep 29 2011 GLOBALFOUNDRIES Inc Multi-patterning lithography aware cell placement in integrated circuit design
8510690, Jun 24 2002 Siemens Industry Software Inc Hierarchical feature extraction for electrical interaction calculations
8527927, Jan 29 2010 Synopsys, Inc. Zone-based area recovery in electronic design automation
8533650, Sep 17 2009 Cadence Design Systems, Inc. Annotation management for hierarchical designs of integrated circuits
8541879, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
8549448, Jul 09 2009 Synopsys, Inc. Delay optimization during circuit design at layout level
8549455, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
8552508, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
8552509, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors
8558322, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature
8564071, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact
8569841, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel
8575706, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode
8581303, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer
8581304, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships
8587034, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
8589845, May 02 2007 Optimizing integrated circuit design through use of sequential timing information
8592872, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
8631361, May 29 2012 Taiwan Semiconductor Manufacturing Company Ltd Integrated circuit design method with dynamic target point
8653857, Mar 09 2006 RPX Corporation Circuitry and layouts for XOR and XNOR logic
8658542, Mar 09 2006 RPX Corporation Coarse grid design methods and structures
8661375, Sep 24 2003 Cadence Design Systems, Inc. Frequency division multiplexing (FDM) lithography
8661391, Jan 02 2013 GLOBALFOUNDRIES U S INC Spare cell insertion based on reachable state analysis
8661392, Oct 13 2009 RPX Corporation Methods for cell boundary encroachment and layouts implementing the Same
8667441, Nov 16 2010 GLOBALFOUNDRIES U S INC Clock optimization with local clock buffer control optimization
8667443, Mar 05 2007 RPX Corporation Integrated circuit cell library for multiple patterning
8669594, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels
8669595, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications
8677297, Dec 03 2010 Synopsys, Inc. Low-overhead multi-patterning design rule check
8680626, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
8701071, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
8726215, Aug 02 2011 Synopsys, Inc. Standard cell placement technique for double patterning technology
8729606, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels
8729643, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit including offset inner gate contacts
8735944, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors
8735995, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track
8742462, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications
8742463, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts
8751986, Aug 06 2010 Synopsys, Inc. Method and apparatus for automatic relative placement rule generation
8756551, Aug 02 2007 RPX Corporation Methods for designing semiconductor device with dynamic array section
8759882, Aug 02 2007 RPX Corporation Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
8759985, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
8772839, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
8775977, Feb 15 2011 Taiwan Semiconductor Manufacturing Co., Ltd Decomposition and marking of semiconductor device design layout in double patterning lithography
8782585, Jul 13 2011 The Regents of the University of California Methods for integrated circuit C4 ball placement
8785978, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer
8785979, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer
8793636, Apr 14 2011 GLOBALFOUNDRIES Inc Placement of structured nets
8800197, Apr 13 2007 EASTERN METAL SUPPLY, INC Blind mount pivoting fishing rod holder with adjustable brake
8816402, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor
8823062, Mar 09 2006 RPX Corporation Integrated circuit with offset line end spacings in linear gate electrode level
8835989, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications
8836045, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track
8839175, Mar 09 2006 RPX Corporation Scalable meta-data objects
8843867, Dec 03 2010 Synopsys, Inc. Low-overhead multi-patterning design rule check
8847329, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts
8847331, Mar 13 2008 RPX Corporation Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures
8853793, Mar 13 2008 RPX Corporation Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends
8853794, Mar 13 2008 RPX Corporation Integrated circuit within semiconductor chip including cross-coupled transistor configuration
8863051, Jun 24 2002 Siemens Industry Software Inc Hierarchical feature extraction for electrical interaction calculations
8863063, May 06 2009 RPX Corporation Finfet transistor circuit
8872283, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
8875082, Dec 28 2012 Cadeńce Design Systems, Inc.; Cadence Design Systems, INC System and method for detecting and prescribing physical corrections for timing violations in pruned timing data for electronic circuit design defined by physical implementation data
8880583, Jun 08 2007 EVERBRIDGE, INC System and method for permitting geographically-pertinent information to be ranked by users according to users' geographic proximity to information and to each other for affecting the ranking of such information
8881089, Dec 17 2013 International Business Machines Corporation Physical synthesis optimization with fast metric check
8893061, Jan 30 2009 Synopsys, Inc Incremental concurrent processing for efficient computation of high-volume layout data
8921896, Mar 09 2006 RPX Corporation Integrated circuit including linear gate electrode structures having different extension distances beyond contact
8921897, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode conductive structures having offset ends
8934066, Mar 13 2000 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having stick drivers and a method of manufacturing the same
8935643, Oct 06 2011 Siemens Industry Software Inc Parameter matching hotspot detection
8938696, Jul 08 2010 D2S, Inc Techniques of optical proximity correction using GPU
8946781, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode conductive structures with different extension distances beyond contact
8949755, May 06 2013 International Business Machines Corporation Analyzing sparse wiring areas of an integrated circuit design
8951918, Mar 27 2013 United Microelectronics Corp. Method for fabricating patterned structure of semiconductor device
8952425, Mar 09 2006 RPX Corporation Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length
8954912, Nov 29 2012 GLOBALFOUNDRIES Inc Structured placement of latches/flip-flops to minimize clock power in high-performance designs
8966424, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
8966427, Jul 13 2011 The Regents of the University of California Systems and methods for integrated circuit C4 ball placement
8984464, Nov 21 2011 Altera Corporation Detailed placement with search and repair
8984467, Aug 17 2011 Synopsys, Inc. Method and apparatus for automatic relative placement generation for clock trees
9009641, May 06 2009 RPX Corporation Circuits with linear finfet structures
9035359, Mar 09 2006 RPX Corporation Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
9043741, Oct 30 2009 Synopsys, Inc Legalizing a portion of a circuit layout
9071446, Mar 11 2011 Emsycon GmbH Tamper-protected hardware and method for using same
9081931, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer
9117050, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
9122832, Aug 01 2008 RPX Corporation Methods for controlling microloading variation in semiconductor wafer layout and fabrication
9152754, May 07 2013 Synopsys, Inc. Sub-module physical refinement flow
9158878, Aug 23 2013 Kioxia Corporation Method and apparatus for generating circuit layout using design model and specification
9159627, Nov 12 2010 RPX Corporation Methods for linewidth modification and apparatus implementing the same
9202779, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
9208279, Mar 13 2008 RPX Corporation Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods
9213792, Mar 13 2008 RPX Corporation Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
9230910, Mar 09 2006 RPX Corporation Oversized contacts and vias in layout defined by linearly constrained topology
9240413, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
9245081, Mar 13 2008 RPX Corporation Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
9251306, Oct 17 2011 GLOBALFOUNDRIES Inc Alignment net insertion for straightening the datapath in a force-directed placer
9269702, Oct 13 2009 RPX Corporation Methods for cell boundary encroachment and layouts implementing the same
9281371, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
9286416, Jan 18 2007 Nikon Corporation; Nikon Precision Inc. Scanner based optical proximity correction system and method of use
9292643, Dec 17 2003 Siemens Industry Software Inc Mask creation with hierarchy management using cover cells
9311442, Apr 25 2014 GLOBALFOUNDRIES U S INC Net-voltage-aware optical proximity correction (OPC)
9336344, Mar 09 2006 RPX Corporation Coarse grid design methods and structures
9361417, Feb 07 2014 Synopsys, Inc Placement of single-bit and multi-bit flip-flops
9390215, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
9424387, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
9425145, Mar 09 2006 RPX Corporation Oversized contacts and vias in layout defined by linearly constrained topology
9425272, Mar 09 2006 RPX Corporation Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same
9425273, Mar 09 2006 RPX Corporation Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same
9430601, Aug 17 2011 Synopsys, Inc. Method and apparatus for automatic relative placement generation for clock trees
9443947, Mar 09 2006 RPX Corporation Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same
9460258, Dec 26 2012 Synopsys, Inc Shaping integrated with power network synthesis (PNS) for power grid (PG) alignment
9461826, Mar 11 2011 Emsycon GmbH Tamper-protected hardware and method for using same
9489414, May 30 2014 SPIRAL GENETICS, INC Prefix burrows-wheeler transformations for creating and searching a merged lexeme set
9519745, Oct 24 2014 GLOBALFOUNDRIES Inc. Method and apparatus for assisted metal routing
9530734, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
9530795, Oct 13 2009 RPX Corporation Methods for cell boundary encroachment and semiconductor devices implementing the same
9536899, Mar 13 2008 RPX Corporation Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
9563733, May 06 2009 RPX Corporation Cell circuit and layout with linear finfet structures
9589091, Dec 06 2010 RPX Corporation Scalable meta-data objects
9595091, Apr 19 2012 Applied Materials Israel, Ltd Defect classification using topographical attributes
9595515, Aug 02 2007 RPX Corporation Semiconductor chip including integrated circuit defined within dynamic array section
9600599, May 13 2014 SPIRAL GENETICS, INC Prefix burrows-wheeler transformation with fast operations on compressed data
9633987, Mar 05 2007 RPX Corporation Integrated circuit cell library for multiple patterning
9652573, Oct 17 2016 Synopsys, Inc Creating and using a wide-gate data structure to represent a wide-gate in an integrated circuit (IC) design
9652578, Jul 28 2014 Samsung Electronics Co., Ltd. Layout design methods and layout design systems for performing the layout design methods
9659132, Jun 06 2013 NXP USA, INC Method of generating a target layout on the basis of a source layout
9673825, Mar 09 2006 RPX Corporation Circuitry and layouts for XOR and XNOR logic
9690890, Oct 17 2016 Synopsys, Inc Creating and using a wide-bus data structure to represent a wide-bus in an integrated circuit (IC) design
9697314, Oct 17 2016 Synopsys, Inc Identifying and using slices in an integrated circuit (IC) design
9697317, Apr 21 2004 IYM Technologies LLC Integrated circuits having in-situ constraints
9704845, Nov 12 2010 RPX Corporation Methods for linewidth modification and apparatus implementing the same
9711495, Mar 09 2006 RPX Corporation Oversized contacts and vias in layout defined by linearly constrained topology
9720649, Nov 11 2014 DK CROWN HOLDINGS INC Color varying random event-determining gaming objects
9740811, May 24 2014 Synopsys, Inc. Virtual hierarchical layer patterning
9740812, May 24 2014 Synopsys, Inc. Virtual cell model geometry compression
9741719, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
9753363, Jan 18 2007 Nikon Corporation; NIKON PRECISION INC Scanner based optical proximity correction system and method of use
9754066, Mar 28 2014 MEGACHIPS CORPORATION Semiconductor device and method for designing a semiconductor device
9754878, Mar 09 2006 RPX Corporation Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires
9779200, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
9792396, Aug 17 2011 Synopsys, Inc. Method and apparatus for automatic relative placement generation for clock trees
9798853, Apr 21 2004 IYM Technologies LLC Increasing manufacturing yield of integrated circuits by modifying original design layout using location specific constraints
9817857, Nov 10 2011 Microsoft Technology Licensing, LLC Deep cloning of objects using binary format
9818747, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
9830416, Jan 20 2016 Anaglobe Technology, Inc. Method for analog circuit placement
9858658, Apr 19 2012 APPLIED MATERIALS ISRAEL, LTD , Defect classification using CAD-based context attributes
9859277, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
9871056, Mar 13 2008 RPX Corporation Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
9875326, Dec 04 2015 International Business Machines Corporation Addressing coupled noise-based violations with buffering in a batch environment
9881114, May 24 2014 Synopsys, Inc. Virtual hierarchical layer propagation
9893898, Mar 11 2011 Emsycon GmbH Tamper-protected hardware and method for using same
9898567, Feb 28 2014 Synopsys, Inc. Automatic layout modification tool with non-uniform grids
9902038, Feb 05 2015 Kioxia Corporation Polishing apparatus, polishing method, and semiconductor manufacturing method
9904755, Sep 27 2013 Synopsys, Inc Legalizing a multi-patterning integrated circuit layout
9905576, Mar 09 2006 RPX Corporation Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
9910950, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
9916411, May 24 2014 Synopsys, Inc. Negative plane usage with a virtual hierarchical layer
9917056, Mar 09 2006 RPX Corporation Coarse grid design methods and structures
20010003843,
20010010090,
20010010092,
20010015464,
20010032978,
20010038127,
20020002697,
20020019729,
20020035461,
20020059553,
20020061652,
20020063569,
20020088940,
20020100004,
20020133801,
20020138816,
20020152453,
20020155363,
20030022071,
20030026472,
20030044059,
20030061583,
20030064685,
20030079194,
20030084418,
20030088847,
20030088849,
20030093766,
20030101430,
20030117946,
20030126571,
20030136977,
20030160236,
20030177467,
20030196183,
20030200524,
20030219154,
20030229838,
20040003368,
20040015808,
20040025138,
20040049760,
20040063000,
20040068712,
20040073880,
20040078768,
20040078770,
20040088071,
20040096752,
20040107408,
20040107410,
20040123264,
20040128118,
20040165054,
20040170905,
20040175635,
20040191650,
20040205681,
20040225986,
20040230922,
20040230933,
20040232445,
20040237061,
20040243963,
20050001271,
20050008942,
20050014074,
20050015699,
20050034093,
20050041166,
20050046717,
20050066300,
20050091014,
20050096888,
20050100802,
20050108662,
20050121672,
20050125763,
20050132319,
20050134820,
20050134866,
20050136582,
20050155001,
20050160390,
20050160393,
20050172253,
20050175906,
20050188338,
20050234684,
20050235237,
20050235245,
20050240895,
20050242302,
20050242303,
20050246674,
20050246675,
20050254106,
20050286113,
20050289490,
20050289500,
20060002216,
20060026541,
20060033049,
20060033975,
20060040188,
20060051680,
20060057475,
20060064653,
20060066326,
20060080628,
20060080630,
20060085768,
20060090151,
20060101356,
20060101357,
20060103002,
20060107248,
20060110025,
20060110837,
20060118719,
20060131271,
20060131736,
20060154496,
20060168551,
20060190889,
20060206847,
20060242619,
20060245636,
20060270068,
20060271894,
20060277520,
20060281221,
20060290769,
20070006113,
20070044061,
20070083847,
20070143724,
20070150846,
20070157153,
20070168898,
20070195511,
20070198961,
20070204249,
20070204252,
20070204256,
20070220477,
20070240088,
20070245281,
20070245283,
20070246776,
20070256046,
20070271539,
20070271543,
20070277129,
20080028352,
20080052660,
20080067552,
20080074913,
20080084726,
20080120588,
20080127017,
20080127027,
20080127029,
20080134128,
20080141211,
20080148210,
20080163486,
20080173814,
20080184183,
20080216025,
20080216038,
20080216039,
20080216040,
20080238967,
20080241709,
20080244494,
20080250375,
20080276208,
20080276209,
20080276210,
20080276212,
20080313577,
20090003684,
20090004573,
20090013299,
20090014811,
20090019419,
20090031259,
20090031269,
20090032967,
20090037850,
20090037851,
20090037866,
20090064073,
20090064074,
20090064080,
20090077525,
20090083689,
20090087619,
20090106715,
20090108360,
20090128788,
20090132992,
20090150837,
20090158223,
20090160986,
20090199142,
20090222672,
20090241085,
20090254874,
20090261493,
20090271752,
20090296055,
20090307642,
20090313594,
20090319977,
20100002111,
20100014784,
20100031214,
20100037200,
20100115477,
20100125822,
20100153892,
20100185997,
20100252889,
20100257499,
20100262944,
20100302426,
20100306719,
20100333049,
20110037498,
20110047519,
20110119642,
20110138342,
20110167397,
20110191731,
20110191738,
20110202897,
20110209106,
20110252389,
20110320992,
20120012851,
20120036488,
20120054699,
20120054707,
20120066654,
20120124539,
20120144358,
20120151429,
20120198394,
20120266124,
20120269190,
20120284682,
20120324409,
20130007674,
20130042217,
20130047127,
20130047130,
20130086543,
20130097573,
20130105805,
20130205272,
20130279790,
20140149957,
20140331196,
20140337811,
20150095865,
20150213159,
20150220674,
20150227646,
20150248514,
20150331907,
20150347088,
20150379165,
20160103940,
20160117432,
20160129341,
20160232272,
20160335376,
20160371424,
20170004249,
20170097809,
20170147542,
20170161407,
20170206298,
20170323047,
20180010485,
20180011963,
CN103885282,
EP1146393,
EP1199651,
EP1925020,
JP2004302932,
JP2007264475,
JP2008258361,
JP4071502,
KR100529619,
KR100542532,
KR100640479,
KR20080005374,
NL2008966,
RE42294, Jun 30 2000 TOSHIBA MEMORY CORPORATION Semiconductor integrated circuit designing method and system using a design rule modification
RE42302, Jun 30 2000 TOSHIBA MEMORY CORPORATION Method for making a design layout and mask
RE43659, Jun 30 2000 TOSHIBA MEMORY CORPORATION Method for making a design layout of a semiconductor integrated circuit
938005,
WO1999014636,
WO1999014638,
WO1999014706,
WO2002009152,
WO2002044699,
WO2004061898,
WO2005109256,
WO2006127408,
WO2006127438,
WO2007041600,
WO2007147826,
WO2008031744,
/
Executed onAssignorAssigneeConveyanceFrameReelDoc
Feb 23 2018IYM Technologies LLC(assignment on the face of the patent)
Date Maintenance Fee Events
Feb 23 2018BIG: Entity status set to Undiscounted (note the period is included in the code).
Mar 19 2018SMAL: Entity status set to Small.


Date Maintenance Schedule
Nov 24 20234 years fee payment window open
May 24 20246 months grace period start (w surcharge)
Nov 24 2024patent expiry (for year 4)
Nov 24 20262 years to revive unintentionally abandoned end. (for year 4)
Nov 24 20278 years fee payment window open
May 24 20286 months grace period start (w surcharge)
Nov 24 2028patent expiry (for year 8)
Nov 24 20302 years to revive unintentionally abandoned end. (for year 8)
Nov 24 203112 years fee payment window open
May 24 20326 months grace period start (w surcharge)
Nov 24 2032patent expiry (for year 12)
Nov 24 20342 years to revive unintentionally abandoned end. (for year 12)