A method of creating a pattern for a mask adapted for use in lithographic production of features on a substrate. The method comprises initially providing a mask pattern of a feature to be created on the substrate using the mask. The method then includes establishing target dimensional bounds of the pattern, determining simulated achievable dimensional bounds of the pattern, comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern, and determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern. In its preferred embodiment, the feature is an integrated circuit to be lithographically produced on a semiconductor substrate.

Patent
   6578190
Priority
Jan 11 2001
Filed
Jan 11 2001
Issued
Jun 10 2003
Expiry
Mar 30 2021
Extension
78 days
Assg.orig
Entity
Large
244
22
all paid
21. A method of creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, the method comprising:
providing a mask pattern of a feature to be created on the semiconductor substrate using the mask; and
modifying lithography process exposure dose and focus conditions and/or the mask pattern to maximize a usable range of exposure dose and focus conditions in the lithographic production.
22. A method of creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, the method comprising:
providing a mask pattern of a feature to be created on the semiconductor substrate using the mask;
determining simulated achievable dimensional bounds of the pattern; and
modifying the mask pattern such that the resulting image of the mask pattern created on the semiconductor substrate falls within the simulated achievable dimensional bounds of the pattern.
1. A method of creating a pattern for a mask adapted for use in lithographic production of features on a substrate, the method comprising:
providing a mask pattern of a feature to be created on the substrate using the mask;
establishing target dimensional bounds of the pattern;
determining simulated achievable dimensional bounds of the pattern;
comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern; and
determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern.
24. A program storage device readable by a machine, tangibly embodying a program of instructions executable by the machine to perform method steps for creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, the mask pattern being of a feature to be created on the substrate using the mask, said method steps comprising:
establishing target dimensional bounds of the pattern;
determining simulated achievable dimensional bounds of the pattern;
comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern; and
determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern.
23. A computer program product for creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, the mask pattern being of a feature to be created on the substrate using the mask, said computer program product having:
computer readable program code means for establishing target dimensional bounds of the pattern;
computer readable program code means for determining simulated achievable dimensional bounds of the pattern;
computer readable program code means for comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern; and
computer readable program code means for determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern.
2. The method of claim 1, wherein the feature is an integrated circuit on a semiconductor substrate.
3. The method of claim 1, wherein target dimensional bounds of the pattern are established by determining maximum variations in pattern edge placement which still provide adequate pattern feature performance.
4. The method of claim 1, wherein simulated achievable dimensional bounds of the pattern are established by determining optical proximity effects of the feature pattern on the mask during lithographic production.
5. The method of claim 1, wherein simulated achievable dimensional bounds of the pattern are established by determining lithographic process variations during lithographic production.
6. The method of claim 5, wherein simulated achievable dimensional bounds of the pattern are established by determining variations in lithographic dosage through the mask during lithographic production.
7. The method of claim 5, wherein simulated achievable dimensional bounds of the pattern are established by determining variations in lithographic focus through the mask during lithographic production.
8. The method of claim 5, wherein simulated achievable dimensional bounds of the pattern are established by determining variations in etching during lithographic production.
9. The method of claim 5, further including reviewing lithographic process conditions to ensure that widths of the simulated achievable dimensional bounds are narrower than widths of target dimensional bounds for corresponding portions of the feature pattern.
10. The method of claim 1, further including modifying lithographic process conditions to reduce the locations where the simulated achievable dimensional bounds of the pattern exceed the target dimensional bounds of the pattern.
11. The method of claim 1, further including modifying the mask feature pattern to reduce the locations where the simulated achievable dimensional bounds of the pattern exceed the target dimensional bounds of the pattern.
12. The method of claim 1, further including modifying the mask feature pattern to ensure that the simulated achievable dimensional bounds are within the target dimensional bounds for the feature pattern.
13. The method of claim 1, wherein the target dimensional bounds are determined from theoretical design rules.
14. The method of claim 1, wherein the target dimensional bounds are determined from empirical experimental data.
15. The method of claim 1, wherein the simulated achievable dimensional bounds are determined from first principle modeling.
16. The method of claim 1, wherein the simulated achievable dimensional bounds are determined from empirical measurements.
17. The method of claim 1, wherein the target dimensional bounds and simulated achievable dimensional bounds are represented by a band showing ranges of feature edges.
18. The method of claim 1, wherein the target dimensional bounds and simulated achievable dimensional bounds are represented by lines showing maximum and minimum feature edges.
19. The method of claim 1, wherein determining the locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern includes calculating total area of the simulated achievable dimensional bounds outside of acceptable target dimensional bounds.
20. The method of claim 19, wherein the target dimensional bounds and simulated achievable dimensional bounds are represented by pixels, and wherein calculating total area of the simulated achievable dimensional bounds outside of the acceptable target dimensional bounds is performed by determining a sum of all pixels outside of the acceptable target dimensional bounds.

1. Field of the Invention

This invention relates to semiconductor fabrication and, in particular, to an improved method for creating a mask pattern of an integrated circuit (IC) for use in lithographic processing.

2. Description of Related Art

Integrated circuits are fabricated by lithographic techniques, where energy beams transmit integrated circuit images or patterns on photomasks to photosensitive resists on semiconductor wafer substrates. The circuit image on the photomask may not be reproduced precisely on the substrate, in part because of optical effects among transmitted and blocked energy passing through the photomask.

Optical Proximity Correction (OPC) has been employed as a key enabling resolution enhancement technique required to meet image size control requirements imposed by state-of-the-art integrated circuit product programs. OPC is essentially the deliberate and proactive distortion of photomask patterns to compensate for systematic and stable errors. OPC is generally categorized as either rules-based or model-based. Rules-based OPC is done by determining the correctable imaging errors, calculating appropriate photomask compensations, and finally applying the calculated corrections directly to the photomask layout. While proven to be very efficient at correcting some important one- and two-dimensional imaging problems, non-iterative rules-based OPC is generally believed limited in its usefulness due to the finite number of rules that are available to describe all layout situations, the difficulty of calculating exact correction values based on measured errors, and the lack of feedback loop during the correction process.

Existing model-based OPC tools overcome some of these shortcomings by employing an essentially trial-and-error iterative optimization approach. Model-based OPC is based on the concept of capturing the imaging characteristics in a mathematical model, or a combination of mathematics and heuristics, and calculating only the expected on-wafer circuit image which would be projected by the mask pattern under investigation. The correction to be applied is never directly calculated. Rather, the correction is derived by comparing the simulated image contour placement to the edge placement of the original mask pattern and iteratively adjusting until a match is found or until all iterations are exhausted.

For example, as shown in FIG. 1a, the actual circuit pattern to be reproduced on a substrate layer is created on a mask. An existing OPC tool then runs a simulation on these patterns and predicts the actual wafer pattern image after transmission onto the wafer substrate, taking into account optical proximity effects, as shown in FIG. 1b. The OPC tool then compares the wafer image FIG. 1b with the original circuit pattern FIG. 1a, determines the required adjustment of the mask pattern so that the mask and wafer images are in better agreement. After a first pass correction and movement of edges that do not agree, a new mask image is then created, FIG. 1c. The OPC tool again runs a simulation of the mask pattern image which will be created on the wafer substrate, and generates a new predicted wafer image, FIG. 1d. The process continues if necessary with a subsequent correction to create a new mask image, FIG. 1e, and another simulation of the pattern image created on the wafer, FIG. 1f. Typically the OPC process takes up to eight to ten, or more, iterations until a suitable mask image is created. By basing the correction on a layout independent model of the patterning process and iterating toward an optimized correction, model-based OPC overcomes many of the shortcomings of rules-based OPC outlined above.

The goal of any resolution enhancement technique (RET) ultimately should be to improve circuit performance or yield. In order to do so, RET has to ensure the layout patterns are replicated within the specifications assumed by the circuit designer over the largest possible process window (i.e., range of exposure dose and defocus). Although they have improved pattern replication on wafers, present rules-based and model-based OPC methods still present deficiencies in producing circuit pattern in that their goal is to improve accuracy of pattern replication at one point of the process window (i.e., one dose and focus) rather than optimizing dimensional control over the entire process window (i.e., a large range of dose and focus).

Bearing in mind the problems and deficiencies of the prior art, it is therefore an object of the present invention to provide an improved method to implement model-based optical proximity correction to circuit patterns on photolithography masks.

It is another object of the present invention to provide a proximity correction technique that improves circuit performance or yield by increasing the process window over which acceptable line width tolerances can be maintained.

A further object of the invention is to provide a proximity correction technique that optimizes overlap between achievable dimensional bounds and acceptable target dimensional bounds.

It is yet another object of the invention to provide a proximity correction technique that recognizes the fact that conditions in an IC manufacturing process fluctuate and that chip designs function over a finite range of dimensional variations.

Still other objects and advantages of the invention will in part be obvious and will in part be apparent from the specification.

The above and other objects and advantages, which will be apparent to one of skill in the art, are achieved in the present invention which is directed to, in a first aspect, a method of creating a pattern for a mask adapted for use in lithographic production of features on a substrate. The method comprises initially providing a mask pattern of a feature to be created on the substrate using the mask. The method then includes establishing target dimensional bounds of the pattern, determining simulated achievable dimensional bounds of the pattern, comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern, and determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern. In its preferred embodiment, the feature is an integrated circuit to be lithographically produced on a semiconductor substrate.

The target dimensional bounds of the pattern may be established by determining maximum variations in pattern edge placement which still provide adequate pattern feature performance, and the simulated achievable dimensional bounds of the pattern may be established by determining optical proximity effects of the feature pattern on the mask during lithographic production. In general, the simulated achievable dimensional bounds of the pattern may be established by determining lithographic process variations during lithographic production, for example, by determining variations in lithographic dosage through the mask during lithographic production, by determining variations in lithographic focus through the mask during lithographic production, or by determining variations in etching during lithographic production.

The method preferably further includes modifying lithographic process conditions to reduce the locations where the simulated achievable dimensional bounds of the pattern exceed the target dimensional bounds of the pattern. Lithographic process conditions may be reviewed to ensure that widths of the simulated achievable dimensional bounds are narrower than widths of target dimensional bounds for corresponding portions of the feature pattern. The mask feature pattern may be modified to reduce the locations where the simulated achievable dimensional bounds of the pattern exceed the target dimensional bounds of the pattern. More preferably, the mask feature pattern is modified to ensure that the simulated achievable dimensional bounds are within the target dimensional bounds for the feature pattern.

The target dimensional bounds may be determined from theoretical design rules, or from empirical experimental data. The simulated achievable dimensional bounds may be determined from first principle modeling, or from empirical measurements. The target dimensional bounds and simulated achievable dimensional bounds may be represented by a band showing ranges of feature edges, or by lines showing maximum and minimum feature edges. Determining the locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern may include calculating total area of the simulated achievable dimensional bounds outside of the acceptable target dimensional bounds. Where the target dimensional bounds and simulated achievable dimensional bounds are represented by pixels, calculating total area of the simulated achievable dimensional bounds outside of the acceptable target dimensional bounds may be accomplished by determining the sum of all pixels outside of the acceptable target dimensional bounds.

In another aspect, the present invention provides a method of creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, wherein the method comprises providing a mask pattern of a feature to be created on the semiconductor substrate using the mask, and modifying lithography process exposure dose and focus conditions and/or the mask pattern to maximize the usable range of exposure dose and focus conditions in the lithographic production.

In a further aspect, the present invention provides a method of creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, wherein the method comprises providing a mask pattern of a feature to be created on the semiconductor substrate using the mask, establishing target dimensional bounds of the pattern, and modifying the mask pattern such that the resulting image of the mask pattern created on the semiconductor substrate falls within the target dimensional bounds of the pattern.

Yet another aspect of the present invention provides a method of creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, wherein the method comprises providing a mask pattern of a feature to be created on the semiconductor substrate using the mask, determining simulated achievable dimensional bounds of the pattern, and modifying the mask pattern such that the resulting image of the mask pattern created on the semiconductor substrate falls within the simulated achievable dimensional bounds of the pattern.

A related aspect of the present invention provides a computer program product for creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, the mask pattern being of a feature to be created on the substrate using the mask. The computer program product has computer readable program code means for establishing target dimensional bounds of the pattern, computer readable program code means for; determining simulated achievable dimensional bounds of the pattern, comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern, and computer readable program code means for determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern.

Another related aspect of the present invention provides a program storage device readable by a machine, tangibly embodying a program of instructions executable by the machine to perform method steps for creating a pattern for a mask adapted for use in lithographic production of integrated circuits on a semiconductor substrate, the mask pattern being of a feature to be created on the substrate using the mask. The method steps comprise establishing target dimensional bounds of the pattern, determining simulated achievable dimensional bounds of the pattern, comparing the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern, and determining locations where the simulated achievable dimensional bounds of the pattern differ from the target dimensional bounds of the pattern.

The features of the invention believed to be novel and the elements characteristic of the invention are set forth with particularity in the appended claims. The figures are for illustration purposes only and are not drawn to scale. The invention itself, however, both as to organization and method of operation, may best be understood by reference to the detailed description which follows taken in conjunction with the accompanying drawings in which:

FIGS. 1a-1f are plan views of sequential iterations of mask images and simulated wafer images, taking optical proximity effects into account, as produced by a typical prior art OPC tool.

FIG. 2 is a side view of part of a lithographic system used to create a circuit pattern image during lithography.

FIG. 3 is a plan view of a sample circuit pattern to be reproduced on a wafer substrate by photolithography.

FIG. 4 is a plan view of the circuit performance target dimensional bounds of the circuit pattern of FIG. 3.

FIG. 5 is a plan view of the simulated achievable dimensional bounds of the circuit pattern of FIG. 3 given lithographic process variations.

FIG. 6 is a plan view of the points where the simulated achievable dimensional bounds of FIG. 5 fall outside of the target dimensional bounds of FIG. 4.

FIG. 7 is a schematic of a computer for running a computer program embodying the method of the present invention.

In describing the preferred embodiment of the present invention, reference will be made herein to FIGS. 1-7 of the drawings in which like numerals refer to like features of the invention. Features of the invention are not necessarily shown to scale in the drawings.

The applicants have determined at least two essential problems with commonly implemented model-based OPC tools. The first problem is that the contours of the simulated pattern on which the iterative correction is based are calculated at a single exposure condition, i.e. the modeled image used for OPC represents a snapshot of one possible image which may be obtained for a given process within acceptable values of process fluctuation. In accounting for the fact that the patterning process has certain fluctuations associated with it, there may be built a model based on the average of models calculated for various dose and focus conditions. However, regardless of what technique is used, in the end, the process is described as a single contour and all information of known and acceptable spread in the actual image edge placement over realistic process windows is lost. The term `process window` is used herein to refer to the range of exposure dose and defocus as well as other sources of linewidth variation, such as lens aberrations and mask dimensional errors, over which an acceptable (e.g., 10%) linewidth control can be maintained in lithographic processing of the IC.

The second problem is that the final measure for the success of the iterative correction is a comparison of the simulated image to the original mask layout. While it is true that, to a first approximation, the task of lithography is to replicate the mask layout, there are well known and acceptable offsets between the layout and the final wafer image, e.g. corner rounding and line end shortening. Furthermore, the specifications put on the circuit design assume a certain amount of fluctuation in the placement of all edges. None of this information can be communicated in model-based OPC tools that optimize against the original layout. Even pre-distorting the original layout cannot capture allowable edge placement tolerances in the optimization. Accordingly, the present invention provides a new and improved method to implement model-based optical proximity correction based on the principle of improving circuit performance and effective process window. The present invention utilizes otherwise standard OPC to manipulate lithography mask patterns to compensate for a variety of patterning errors in addition to just optical effects.

An example of a lithography process using a mask made in accordance with the present invention is shown in FIG. 2. Radiation comprising energy beam 30 passes through a portion of mask 18 comprising a substrate layer 20 sufficiently transparent to the radiation on which are deposited opaque segments 22 conforming to the circuit pattern image to be projected. The beam 30 portion that passes through the mask 18 between opaque segments 22 is illustrated by beam 30a. Beam 30a is focused by lens system 24 onto the surface 32 of a semiconductor wafer having conventional resist materials sensitive to the radiation. Radiation blocked by, mask portions 22 does not transfer to the resist layer on surface 32. Thus, a contrasting latent image 28 is formed, on wafer resist surface 32 which conforms to the pattern of opaque layer 22 on the mask, except for optical proximity effects. The resist is then developed, and the pattern created by the latent image is used to produce a desired circuit or portion thereof on the wafer.

FIG. 3 shows a sample circuit pattern to be reproduced on a wafer substrate by photolithography. Given the circuit layout of FIG. 3, the first step in the OPC method of the present invention is to establish target dimensional bounds over which proper circuit performance is guaranteed by the design assumptions and the process error budget. The target dimensional bounds establish how much the particular circuit pattern may vary in size and still provide adequate electrical performance, and may be determined by conventional methods without undue experimentation. The target dimensional bounds are around each feature segment and specify the acceptable range of edge displacement for each feature segment. FIG. 4 shows such target dimensional bounds for the circuit pattern of FIG. 3, and include line width and corner rounding, as well as other, variations. Target dimensional bounds may be derived from design rules of the IC or empirically by experimental data for the circuits on the IC. For example, the target dimensional bound of a line segment is indicated as W1T, and the target dimensional bound for a line end is indicated as W2T. These target dimensional bounds depend on intra-feature characteristics, for example, poly over diffusion equals a gate, contact over/under metal equals a critical line end, and the like. For example, acceptable variation in metal layer line end may be determined by location of contacts on adjacent lithographic layers to determine maximum variations before performance is impacted, and other allowable maximum pattern feature variations may be likewise determined for each circuit pattern on each layer.

The second aspect of the present invention is the generation of simulated achievable dimensional bounds that outline and establish the spread of the simulated projected image over the required process window, based on assumed process fluctuations and variations. As illustrated in FIG. 5, the simulated dimensional bounds indicate the edges of the band within which any edge of the input pattern is expected to be imaged over a given range of lithographic process conditions, for example, extremes in dose and focus variation, resist effects, etch variation, as well as other desired modeled process fluctuations. The simulated dimensional bounds may likewise be determined by conventional techniques without undue experimentation. Simulated achievable dimensional bounds may be determined through simulation, by first principle modeling, or through empirical measurements, or some combination thereof (i.e., empirically anchored simulation). As referenced herein, empirical measurement may be by measurement of wafer data, or by measurement of the aerial image of the pattern projected by the mask. FIG. 5 shows the simulated dimensional bounds for the band and feature segments previously identified in FIG. 4 as W1S and W2S, respectively.

Both the target dimensional bounds and the simulated achievable bounds may be calculated and represented as bands or ranges, as shown in FIGS. 4 and 5, or as maximum-minimum contours, i.e., lines showing only the smallest feature outline and the largest feature outline.

In accordance with the present invention, proper circuit operation over a given process window is deemed guaranteed if the entire width of all simulated achievable dimensional bounds can be contained within the established target dimensional bounds. The present method compares the target dimensional bounds of the pattern to the simulated achievable dimensional bounds of the pattern, and determines locations where the simulated achievable dimensional bounds of the pattern exceed the target dimensional bounds of the pattern. In the examples previously given, the target dimensions and locations of line and feature segments W1T and W2T (FIG. 4) would be respectively compared to the simulated dimensions and locations of line and feature segments W1S and W2S (FIG. 5) to determine where the latter exceeds the former in size. FIG. 6 illustrates points, also known as pixels, where the simulated achievable dimensional bounds of FIG. 5 fall outside of the established target dimensional bounds of FIG. 4. These are termed `bad pixels`, and require enhancement techniques to improve circuit performance. The method of the present invention preferably calculates the total area of the simulated achievable dimensional bounds outside of the acceptable target dimensional bounds, e.g., by adding up the sum of all bad pixels. The concept of dimensional bounds as used in the present invention may be used to a) characterize a lithographic process by using the bad pixels a metric, b) optimize a process by reducing or eliminating the bad pixels shown in FIG. 6, or c) do OPC. In the case of process optimization, the calculation of bad pixels may be linked to a program that simulates a variety of process options, e.g., lithographic illumination, mask type resist characteristics, global mask bias, and the like.

Given the target dimensional bounds and the simulated achievable dimensional bounds, the OPC correction method of the present invention preferably involves a two step process. It is important to note that OPC by itself does not improve lithographic process window, i.e., manipulating the mask layout does not significantly reduce the pattern's sensitivity to dose, focus, and other process fluctuations. However, the first task in the present method is to ensure that the simulated achievable dimensional bounds are always narrower than the target dimensional bounds. That is, independent of the placement of the simulated achievable dimensional bounds, if the spread in edge placement in the simulated image over a given process window is wider than the allowable spread, layout manipulations will fail to converge on a good overlap of the simulated achievable dimensional bound to the target dimensional bound. This is accomplished by manipulating the process conditions or lightening the process conditions or lightening the process fluctuations using techniques well known to those skilled in the art. This may involve using a higher resolution lithographic process or a better resist system. The simulated achievable dimensional bounds provide valuable graphical feedback on the success of this optimization operation.

The second preferred step in the present method is to iteratively manipulate and modify the mask layout data to ensure that all simulated achievable dimensional bounds can be contained within the established target dimensional bounds. One way of implementing this optimization iteration is by directly subtracting shapes formed by expanding regions where the simulated achievable dimensional bound falls outside the target dimensional bound from the original mask layout, and adding shapes formed by shrinking regions where the simulated achievable dimensional bound falls inside the target dimensional bound. Other optimization techniques may be employed. Once the target dimensional bounds are defined based on the original layout and various design rules, the layout has essentially served its purpose. That is, the optimum mask pattern derived by this optimization technique may resemble the original layout to varying degrees, but there is no patterning requirement restricting the abstractness of the mask pattern. Manufacturability considerations will typically constrain the nature of the mask pattern. In the case where the simulated achievable bounds are still larger than the target bounds, the present invention minimizes the number and amount of bad pixels.

While the process of optimization and OPC task are described herein as two sequential operations, the preferred embodiment of the present method employs the combination of tightly coupled layout and process manipulations.

The method of the present invention may be embodied as a computer program product stored on a program storage device. This program storage device may be devised, made and used as a component of a machine utilizing optics, magnetic properties and/or electronics to perform the method steps of the present invention. Program storage devices include, but are not limited to, magnetic disks or diskettes, magnetic tapes, optical disks, Read Only Memory (ROM), floppy disks, semiconductor chips and the like. A computer readable program code means in known source code may be employed to convert the methods described below for use on a computer. The computer program or software incorporating the process steps and instructions described further below may be stored in any conventional computer, for example, that shown in FIG. 7. Computer 40 incorporates a program storage device 42 and a microprocessor 44. Installed on the program storage device 42 is the program code incorporating the method of the present invention, as well as any database information for the mask pattern of a feature to be created on the semiconductor substrate and the lithographic process window variations.

While the present invention has been particularly described, in conjunction with a specific preferred embodiment, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art in light of the foregoing description. It is therefore contemplated that the appended claims will embrace any such alternatives, modifications and variations as falling within the true scope and spirit of the present invention.

Liebmann, Lars W., Lavin, Mark A., Ferguson, Richard A., Wong, Alfred K.

Patent Priority Assignee Title
10020321, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined on two gate electrode tracks
10074640, Mar 05 2007 RPX Corporation Integrated circuit cell library for multiple patterning
10141334, Mar 09 2006 RPX Corporation Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures
10141335, Mar 09 2006 RPX Corporation Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures
10186523, Mar 09 2006 RPX Corporation Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid
10216890, Apr 21 2004 IYM Technologies LLC Integrated circuits having in-situ constraints
10217763, Mar 09 2006 RPX Corporation Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid
10230377, Mar 09 2006 RPX Corporation Circuitry and layouts for XOR and XNOR logic
10446536, May 06 2009 RPX Corporation Cell circuit and layout with linear finfet structures
10461081, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
10651200, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined on three gate electrode tracks
10658385, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined on four gate electrode tracks
10727252, Mar 13 2008 RPX Corporation Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
10734383, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
10846454, Apr 21 2004 IYM Technologies LLC Integrated circuits having in-situ constraints
10860773, Apr 21 2004 IYM Technologies LLC Integrated circuits having in-situ constraints
11657207, Jul 28 2020 Synopsys, Inc.; SYNOPSYS INCORPORATED Wafer sensitivity determination and communication
6904587, Dec 20 2002 SYNOPSYS MERGER HOLDINGS LLC Incremental lithography mask layout design and verification
6961920, Sep 18 2003 GLOBALFOUNDRIES Inc Method for interlayer and yield based optical proximity correction
7035446, May 22 2002 Bell Semiconductor, LLC Quality measurement of an aerial image
7082588, May 01 2004 Cadence Design Systems, INC Method and apparatus for designing integrated circuit layouts
7120887, Jan 16 2004 International Business Machines Corporation Cloned and original circuit shape merging
7254798, May 01 2004 Cadence Design Systems, INC Method and apparatus for designing integrated circuit layouts
7266798, Oct 12 2005 International Business Machines Corporation Designer's intent tolerance bands for proximity correction and checking
7334212, Sep 18 2003 GLOBALFOUNDRIES Inc Method for interlayer and yield based optical proximity correction
7381654, May 31 2005 Taiwan Semiconductor Manufacturing Co. Method for fabricating right-angle holes in a substrate
7459100, Dec 22 2004 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
7523437, Dec 18 2003 Kabushiki Kaisha Toshiba Pattern-producing method for semiconductor device
7526748, Aug 10 2004 Kabushiki Kaisha Toshiba Design pattern data preparing method, mask pattern data preparing method, mask manufacturing method, semiconductor device manufacturing method, and program recording medium
7562337, Dec 11 2006 GLOBALFOUNDRIES Inc OPC verification using auto-windowed regions
7607114, Oct 12 2005 International Business Machines Corporation Designer's intent tolerance bands for proximity correction and checking
7624369, Oct 31 2006 International Business Machines Corporation Closed-loop design for manufacturability process
7669176, Sep 14 2007 Infineon Technologies AG System and method for semiconductor device fabrication using modeling
7712069, Sep 18 2003 GLOBALFOUNDRIES Inc Method for interlayer and yield based optical proximity correction
7765498, May 24 2007 XILINX, Inc. Methods of incorporating process-induced layout dimension changes into an integrated circuit simulation netlist
7814456, Nov 22 2004 RPX Corporation Method and system for topography-aware reticle enhancement
7842975, Mar 09 2006 RPX Corporation Dynamic array architecture
7861209, Sep 18 2003 GLOBALFOUNDRIES Inc Method for interlayer and yield based optical proximity correction
7888705, Aug 02 2007 RPX Corporation Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
7904731, Apr 16 2002 Massachusetts Institute of Technology Integrated circuit that uses a dynamic characteristic of the circuit
7906801, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions
7908578, Aug 02 2007 RPX Corporation Methods for designing semiconductor device with dynamic array section
7910958, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment
7910959, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level
7917879, Aug 02 2007 RPX Corporation Semiconductor device with dynamic array section
7923757, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level
7932544, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions
7932545, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
7939443, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
7941768, Jan 11 2006 oLambda, Inc Photolithographic process simulation in integrated circuit design and manufacturing
7943966, Mar 09 2006 RPX Corporation Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment
7943967, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
7948012, Mar 09 2006 RPX Corporation Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment
7948013, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch
7952119, Mar 09 2006 RPX Corporation Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
7956421, Mar 13 2008 RPX Corporation Cross-coupled transistor layouts in restricted gate level layout architecture
7966584, Dec 18 2003 Kabushiki Kaisha Toshiba Pattern-producing method for semiconductor device
7979829, Feb 20 2007 RPX Corporation Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
7989847, Mar 09 2006 RPX Corporation Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths
7989848, Mar 09 2006 RPX Corporation Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground
7994545, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
8015510, Feb 17 2006 Mentor Graphics Corporation Interconnection modeling for semiconductor fabrication process effects
8022441, Mar 09 2006 RPX Corporation Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level
8030689, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment
8035133, Mar 09 2006 RPX Corporation Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch
8051393, Feb 17 2006 Mentor Graphics Corporation Gate modeling for semiconductor fabrication process effects
8058671, Mar 09 2006 RPX Corporation Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch
8058691, Mar 13 2008 RPX Corporation Semiconductor device including cross-coupled transistors formed from linear-shaped gate level features
8064682, Jun 29 2007 Intel Corporation Defect analysis
8069423, Aug 11 2008 Cadence Design Systems, Inc.; Cadence Design Systems, INC System and method for model based multi-patterning optimization
8072003, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures
8088679, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment
8088680, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch
8088681, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment
8088682, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
8089098, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment
8089099, Mar 09 2006 RPX Corporation Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch
8089100, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes
8089101, Mar 09 2006 RPX Corporation Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
8089102, Mar 09 2006 RPX Corporation Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
8089103, Mar 09 2006 RPX Corporation Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type
8089104, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size
8101975, Mar 09 2006 RPX Corporation Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type
8110854, Mar 09 2006 RPX Corporation Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels
8129750, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length
8129751, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances
8129752, Mar 09 2006 RPX Corporation Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes
8129753, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion
8129754, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends
8129755, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor
8129756, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures
8129757, Mar 09 2006 RPX Corporation Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
8129819, Mar 09 2006 RPX Corporation Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
8134183, Mar 09 2006 RPX Corporation Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size
8134184, Mar 09 2006 RPX Corporation Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion
8134185, Mar 09 2006 RPX Corporation Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends
8134186, Mar 09 2006 RPX Corporation Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length
8138525, Mar 09 2006 RPX Corporation Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor
8146026, Nov 17 2009 Siemens Industry Software Inc Simultaneous photolithographic mask and target optimization
8151219, Jan 31 2008 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
8198656, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
8207053, Mar 09 2006 RPX Corporation Electrodes of transistors with at least two linear-shaped conductive structures of different length
8209656, Oct 14 2008 Cadence Design Systems, INC Pattern decomposition method
8214778, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
8217428, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
8225239, Mar 09 2006 RPX Corporation Methods for defining and utilizing sub-resolution features in linear topology
8225261, Mar 09 2006 RPX Corporation Methods for defining contact grid in dynamic array architecture
8230372, Dec 03 2009 International Business Machines Corporation Retargeting for electrical yield enhancement
8245180, Mar 09 2006 RPX Corporation Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
8247846, Mar 09 2006 RPX Corporation Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
8253172, Mar 09 2006 RPX Corporation Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region
8253173, Mar 09 2006 RPX Corporation Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region
8258547, Mar 09 2006 RPX Corporation Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts
8258548, Mar 09 2006 RPX Corporation Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region
8258549, Mar 09 2006 RPX Corporation Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length
8258550, Mar 09 2006 RPX Corporation Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact
8258551, Mar 09 2006 RPX Corporation Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction
8258552, Mar 09 2006 RPX Corporation Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends
8258581, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors with two transistors of different type formed by same gate level structure and two transistors of different type formed by separate gate level structures
8264007, Mar 09 2006 RPX Corporation Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances
8264008, Mar 09 2006 RPX Corporation Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size
8264009, Mar 09 2006 RPX Corporation Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length
8264044, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having two complementary pairs of co-aligned gate electrodes with offset contacting structures positioned between transistors of different type
8264049, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
8274099, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
8283701, Aug 02 2007 RPX Corporation Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
8286107, Feb 20 2007 RPX Corporation Methods and systems for process compensation technique acceleration
8321818, Jun 26 2009 GLOBALFOUNDRIES Inc Model-based retargeting of layout patterns for sub-wavelength photolithography
8331646, Dec 23 2009 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
8336003, Feb 19 2010 GLOBALFOUNDRIES U S INC Method for designing optical lithography masks for directed self-assembly
8356268, Aug 02 2007 RPX Corporation Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings
8386801, Apr 16 2002 Massachusetts Institute of Technology Authentication of integrated circuits
8395224, Mar 13 2008 RPX Corporation Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes
8405162, Mar 13 2008 RPX Corporation Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region
8405163, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
8423928, Aug 11 2008 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
8436400, Mar 09 2006 RPX Corporation Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length
8448102, Mar 09 2006 RPX Corporation Optimizing layout of irregular structures in regular layout context
8453094, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
8471391, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
8473874, Aug 22 2011 Cadence Design Systems, Inc.; Cadence Design Systems, INC Method and apparatus for automatically fixing double patterning loop violations
8495530, Dec 03 2009 International Business Machines Corporation Retargeting for electrical yield enhancement
8515715, Jun 17 2011 International Business Machines Corporation Method, system and program storage device for simulating electronic device performance as a function of process variations
8516402, Aug 22 2011 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
8541879, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
8549455, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
8552508, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
8552509, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors
8558322, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature
8564071, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact
8569841, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel
8570485, Jun 03 2008 ASML NETHERLANDS B V Lens heating compensation systems and methods
8572524, Nov 21 2007 TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD Statistical optical proximity correction
8575706, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode
8581303, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer
8581304, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships
8587034, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
8592872, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
8653857, Mar 09 2006 RPX Corporation Circuitry and layouts for XOR and XNOR logic
8658542, Mar 09 2006 RPX Corporation Coarse grid design methods and structures
8661392, Oct 13 2009 RPX Corporation Methods for cell boundary encroachment and layouts implementing the Same
8667443, Mar 05 2007 RPX Corporation Integrated circuit cell library for multiple patterning
8669594, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels
8669595, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications
8680583, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels
8680626, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
8701071, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
8729606, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels
8729643, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit including offset inner gate contacts
8735944, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors
8735995, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track
8742462, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications
8742463, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts
8756551, Aug 02 2007 RPX Corporation Methods for designing semiconductor device with dynamic array section
8759882, Aug 02 2007 RPX Corporation Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
8759985, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
8772839, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
8785978, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer
8785979, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer
8813017, Feb 17 2006 Mentor Graphics Corporation Gate modeling for semiconductor fabrication process effects
8816402, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor
8823062, Mar 09 2006 RPX Corporation Integrated circuit with offset line end spacings in linear gate electrode level
8835989, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications
8836045, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track
8839175, Mar 09 2006 RPX Corporation Scalable meta-data objects
8847329, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts
8847331, Mar 13 2008 RPX Corporation Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures
8853793, Mar 13 2008 RPX Corporation Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends
8853794, Mar 13 2008 RPX Corporation Integrated circuit within semiconductor chip including cross-coupled transistor configuration
8856693, Feb 19 2010 GLOBALFOUNDRIES U S INC Method for designing optical lithography masks for directed self-assembly
8863063, May 06 2009 RPX Corporation Finfet transistor circuit
8866197, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature
8872283, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
8921896, Mar 09 2006 RPX Corporation Integrated circuit including linear gate electrode structures having different extension distances beyond contact
8921897, Mar 09 2006 RPX Corporation Integrated circuit with gate electrode conductive structures having offset ends
8946781, Mar 09 2006 RPX Corporation Integrated circuit including gate electrode conductive structures with different extension distances beyond contact
8951916, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
8952425, Mar 09 2006 RPX Corporation Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length
8966424, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
9009641, May 06 2009 RPX Corporation Circuits with linear finfet structures
9035359, Mar 09 2006 RPX Corporation Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
9081931, Mar 13 2008 RPX Corporation Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer
9117050, Mar 13 2008 RPX Corporation Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
9122832, Aug 01 2008 RPX Corporation Methods for controlling microloading variation in semiconductor wafer layout and fabrication
9158878, Aug 23 2013 Kioxia Corporation Method and apparatus for generating circuit layout using design model and specification
9159627, Nov 12 2010 RPX Corporation Methods for linewidth modification and apparatus implementing the same
9202779, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
9208279, Mar 13 2008 RPX Corporation Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods
9213792, Mar 13 2008 RPX Corporation Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
9230910, Mar 09 2006 RPX Corporation Oversized contacts and vias in layout defined by linearly constrained topology
9240413, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
9245081, Mar 13 2008 RPX Corporation Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
9269702, Oct 13 2009 RPX Corporation Methods for cell boundary encroachment and layouts implementing the same
9281371, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
9311442, Apr 25 2014 GLOBALFOUNDRIES U S INC Net-voltage-aware optical proximity correction (OPC)
9336344, Mar 09 2006 RPX Corporation Coarse grid design methods and structures
9390215, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
9424387, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
9425145, Mar 09 2006 RPX Corporation Oversized contacts and vias in layout defined by linearly constrained topology
9425272, Mar 09 2006 RPX Corporation Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same
9425273, Mar 09 2006 RPX Corporation Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same
9443947, Mar 09 2006 RPX Corporation Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same
9530734, Jan 31 2008 RPX Corporation Enforcement of semiconductor structure regularity for localized transistors and interconnect
9530795, Oct 13 2009 RPX Corporation Methods for cell boundary encroachment and semiconductor devices implementing the same
9536899, Mar 13 2008 RPX Corporation Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
9563733, May 06 2009 RPX Corporation Cell circuit and layout with linear finfet structures
9589091, Dec 06 2010 RPX Corporation Scalable meta-data objects
9595515, Aug 02 2007 RPX Corporation Semiconductor chip including integrated circuit defined within dynamic array section
9633987, Mar 05 2007 RPX Corporation Integrated circuit cell library for multiple patterning
9673825, Mar 09 2006 RPX Corporation Circuitry and layouts for XOR and XNOR logic
9704845, Nov 12 2010 RPX Corporation Methods for linewidth modification and apparatus implementing the same
9711495, Mar 09 2006 RPX Corporation Oversized contacts and vias in layout defined by linearly constrained topology
9741719, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
9746784, Jun 03 2008 ASML Netherlands B.V. Lens heating compensation systems and methods
9754878, Mar 09 2006 RPX Corporation Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires
9779200, Mar 27 2008 RPX Corporation Methods for multi-wire routing and apparatus implementing same
9818747, Dec 13 2007 RPX Corporation Super-self-aligned contacts and method for making the same
9859277, Oct 26 2007 RPX Corporation Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
9871056, Mar 13 2008 RPX Corporation Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
9905576, Mar 09 2006 RPX Corporation Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
9910950, Aug 02 2007 RPX Corporation Methods for cell phasing and placement in dynamic array architecture and implementation of the same
9917056, Mar 09 2006 RPX Corporation Coarse grid design methods and structures
RE42294, Jun 30 2000 TOSHIBA MEMORY CORPORATION Semiconductor integrated circuit designing method and system using a design rule modification
RE43659, Jun 30 2000 TOSHIBA MEMORY CORPORATION Method for making a design layout of a semiconductor integrated circuit
Patent Priority Assignee Title
5340700, Apr 06 1992 ASML NETHERLANDS B V Method for improved lithographic patterning in a semiconductor fabrication process
5723233, Feb 27 1996 Bell Semiconductor, LLC Optical proximity correction method and apparatus
5723235, Nov 08 1993 Sony Corporation Method of producing photomask and exposing
5795688, Aug 14 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Process for detecting defects in photomasks through aerial image comparisons
5801954, Apr 24 1996 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Process for designing and checking a mask layout
5889686, Aug 22 1996 Kabushiki Kaisha Toshiba Profile simulation method
5965306, Oct 15 1997 GOOGLE LLC Method of determining the printability of photomask defects
6077310, Dec 22 1995 Kabushiki Kaisha Toshiba Optical proximity correction system
6078738, May 08 1997 Bell Semiconductor, LLC Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
6081658, Dec 31 1997 Synopsys, Inc Proximity correction system for wafer lithography
6178360, Feb 05 1998 U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
6249597, Jul 17 1995 Sony Corporation Method of correcting mask pattern and mask, method of exposure, apparatus thereof, and photomask and semiconductor device using the same
6261728, Oct 19 1998 Vanguard International Semiconductor Corporation Mask image scanning exposure method
6272392, Dec 04 1998 GLOBALFOUNDRIES Inc Methodology for extracting effective lens aberrations using a neural network
6340543, Oct 19 1999 RENESAS NAKA SEMICONDUCTOR CORPORATION; RENESAS SEMICONDUCTOR MANUFACTURING CO , LTD Photomask, manufacturing method thereof, and semiconductor device
6345210, Mar 08 1999 Advanced Micro Devices, Inc. Method of using critical dimension mapping to qualify a reticle used in integrated circuit fabrication
6388736, Nov 15 1999 ASML NETHERLANDS B V Imaging method using phase boundary masking with modified illumination
6418553, Mar 12 1999 Kabushiki Kaisha Toshiba Circuit designing method for semiconductor device and computer-readable medium
6453457, Sep 29 2000 SYNOPSYS MERGER HOLDINGS LLC Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
6470489, Sep 17 1997 SYNOPSYS MERGER HOLDINGS LLC Design rule checking system and method
6472107, Sep 30 1999 PHOTRONICS, INC Disposable hard mask for photomask plasma etching
20020100004,
////////////////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Dec 07 2000WONG, ALFRED K International Business Machines CorporationINVALID ASSIGNMENT SEE RECORDING AT REEL 012346 FRAME 0866 RE-RECORDED TO CORRECT THE RECORDATION DATE 0114940173 pdf
Dec 07 2000LIEBMANN, LARS W International Business Machines CorporationINVALID ASSIGNMENT SEE RECORDING AT REEL 012346 FRAME 0866 RE-RECORDED TO CORRECT THE RECORDATION DATE 0114940173 pdf
Dec 07 2000WONG, ALFRED K International Business Machines CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0123460866 pdf
Dec 07 2000LIEBMANN, LARS W International Business Machines CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0123460866 pdf
Dec 08 2000LAVIN, MARK A International Business Machines CorporationINVALID ASSIGNMENT SEE RECORDING AT REEL 012346 FRAME 0866 RE-RECORDED TO CORRECT THE RECORDATION DATE 0114940173 pdf
Dec 08 2000LAVIN, MARK A International Business Machines CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0123460866 pdf
Dec 12 2000FERGUSON, RICHARD A International Business Machines CorporationINVALID ASSIGNMENT SEE RECORDING AT REEL 012346 FRAME 0866 RE-RECORDED TO CORRECT THE RECORDATION DATE 0114940173 pdf
Dec 12 2000FERGUSON, RICHARD A International Business Machines CorporationASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0123460866 pdf
Jan 11 2001International Business Machines Corporation(assignment on the face of the patent)
Jun 29 2015International Business Machines CorporationGLOBALFOUNDRIES U S 2 LLCASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0365500001 pdf
Sep 10 2015GLOBALFOUNDRIES U S INC GLOBALFOUNDRIES IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0367790001 pdf
Sep 10 2015GLOBALFOUNDRIES U S 2 LLCGLOBALFOUNDRIES IncASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0367790001 pdf
Nov 27 2018GLOBALFOUNDRIES IncWILMINGTON TRUST, NATIONAL ASSOCIATIONSECURITY AGREEMENT0494900001 pdf
Oct 22 2020GLOBALFOUNDRIES IncGLOBALFOUNDRIES U S INC ASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0546330001 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES IncRELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0546360001 pdf
Nov 17 2020WILMINGTON TRUST, NATIONAL ASSOCIATIONGLOBALFOUNDRIES U S INC RELEASE BY SECURED PARTY SEE DOCUMENT FOR DETAILS 0569870001 pdf
Date Maintenance Fee Events
Nov 07 2003ASPN: Payor Number Assigned.
Sep 26 2006M1551: Payment of Maintenance Fee, 4th Year, Large Entity.
Oct 09 2010M1552: Payment of Maintenance Fee, 8th Year, Large Entity.
Jan 16 2015REM: Maintenance Fee Reminder Mailed.
Jun 08 2015M1553: Payment of Maintenance Fee, 12th Year, Large Entity.
Jun 08 2015M1556: 11.5 yr surcharge- late pmt w/in 6 mo, Large Entity.


Date Maintenance Schedule
Jun 10 20064 years fee payment window open
Dec 10 20066 months grace period start (w surcharge)
Jun 10 2007patent expiry (for year 4)
Jun 10 20092 years to revive unintentionally abandoned end. (for year 4)
Jun 10 20108 years fee payment window open
Dec 10 20106 months grace period start (w surcharge)
Jun 10 2011patent expiry (for year 8)
Jun 10 20132 years to revive unintentionally abandoned end. (for year 8)
Jun 10 201412 years fee payment window open
Dec 10 20146 months grace period start (w surcharge)
Jun 10 2015patent expiry (for year 12)
Jun 10 20172 years to revive unintentionally abandoned end. (for year 12)