Patent |
Priority |
Assignee |
Title |
10844484, |
Sep 22 2017 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
10844486, |
Apr 06 2009 |
ASM IP HOLDING B V |
Semiconductor processing reactor and components thereof |
10847366, |
Nov 16 2018 |
ASM IP Holding B.V. |
Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
10851456, |
Apr 21 2016 |
ASM IP Holding B.V. |
Deposition of metal borides |
10858737, |
Jul 28 2014 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Showerhead assembly and components thereof |
10867786, |
Mar 30 2018 |
ASM IP Holding B.V. |
Substrate processing method |
10867788, |
Dec 28 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method of forming a structure on a substrate |
10883175, |
Aug 09 2018 |
ASM IP HOLDING B V |
Vertical furnace for processing substrates and a liner for use therein |
10886123, |
Jun 02 2017 |
ASM IP Holding B.V. |
Methods for forming low temperature semiconductor layers and related semiconductor device structures |
10892156, |
May 08 2017 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
10910262, |
Nov 16 2017 |
ASM IP HOLDING B V |
Method of selectively depositing a capping layer structure on a semiconductor device structure |
10914004, |
Jun 29 2018 |
ASM IP Holding B.V. |
Thin-film deposition method and manufacturing method of semiconductor device |
10923344, |
Oct 30 2017 |
ASM IP HOLDING B V |
Methods for forming a semiconductor structure and related semiconductor structures |
10928731, |
Sep 21 2017 |
ASM IP Holding B.V. |
Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
10934619, |
Nov 15 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Gas supply unit and substrate processing apparatus including the gas supply unit |
10941490, |
Oct 07 2014 |
ASM IP Holding B.V. |
Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
10943771, |
Oct 26 2016 |
ASM IP Holding B.V. |
Methods for thermally calibrating reaction chambers |
10950432, |
Apr 25 2017 |
ASM IP Holding B.V. |
Method of depositing thin film and method of manufacturing semiconductor device |
10975470, |
Feb 23 2018 |
ASM IP Holding B.V. |
Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
11001925, |
Dec 19 2016 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11004977, |
Jul 19 2017 |
ASM IP Holding B.V. |
Method for depositing a group IV semiconductor and related semiconductor device structures |
11015245, |
Mar 19 2014 |
ASM IP Holding B.V. |
Gas-phase reactor and system having exhaust plenum and components thereof |
11018002, |
Jul 19 2017 |
ASM IP Holding B.V. |
Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
11018047, |
Jan 25 2018 |
ASM IP Holding B.V. |
Hybrid lift pin |
11022879, |
Nov 24 2017 |
ASM IP Holding B.V. |
Method of forming an enhanced unexposed photoresist layer |
11024523, |
Sep 11 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Substrate processing apparatus and method |
11031242, |
Nov 07 2018 |
ASM IP Holding B.V. |
Methods for depositing a boron doped silicon germanium film |
11049751, |
Sep 14 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
11053591, |
Aug 06 2018 |
ASM IP Holding B.V. |
Multi-port gas injection system and reactor system including same |
11056344, |
Aug 30 2017 |
ASM IP HOLDING B V |
Layer forming method |
11056567, |
May 11 2018 |
ASM IP Holding B.V. |
Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
11069510, |
Aug 30 2017 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11081345, |
Feb 06 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method of post-deposition treatment for silicon oxide film |
11087997, |
Oct 31 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Substrate processing apparatus for processing substrates |
11088002, |
Mar 29 2018 |
ASM IP HOLDING B V |
Substrate rack and a substrate processing system and method |
11094546, |
Oct 05 2017 |
ASM IP Holding B.V. |
Method for selectively depositing a metallic film on a substrate |
11094582, |
Jul 08 2016 |
ASM IP Holding B.V. |
Selective deposition method to form air gaps |
11101370, |
May 02 2016 |
ASM IP Holding B.V. |
Method of forming a germanium oxynitride film |
11107676, |
Jul 28 2016 |
ASM IP Holding B.V. |
Method and apparatus for filling a gap |
11114283, |
Mar 16 2018 |
ASM IP Holding B.V. |
Reactor, system including the reactor, and methods of manufacturing and using same |
11114294, |
Mar 08 2019 |
ASM IP Holding B.V. |
Structure including SiOC layer and method of forming same |
11127589, |
Feb 01 2019 |
ASM IP Holding B.V. |
Method of topology-selective film formation of silicon oxide |
11127617, |
Nov 27 2017 |
ASM IP HOLDING B V |
Storage device for storing wafer cassettes for use with a batch furnace |
11139191, |
Aug 09 2017 |
ASM IP HOLDING B V |
Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
11139308, |
Dec 29 2015 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Atomic layer deposition of III-V compounds to form V-NAND devices |
11158513, |
Dec 13 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
11164955, |
Jul 18 2017 |
ASM IP Holding B.V. |
Methods for forming a semiconductor device structure and related semiconductor device structures |
11168395, |
Jun 29 2018 |
ASM IP Holding B.V. |
Temperature-controlled flange and reactor system including same |
11171025, |
Jan 22 2019 |
ASM IP Holding B.V. |
Substrate processing device |
11205585, |
Jul 28 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Substrate processing apparatus and method of operating the same |
11217444, |
Nov 30 2018 |
ASM IP HOLDING B V |
Method for forming an ultraviolet radiation responsive metal oxide-containing film |
11222772, |
Dec 14 2016 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11227782, |
Jul 31 2019 |
ASM IP Holding B.V. |
Vertical batch furnace assembly |
11227789, |
Feb 20 2019 |
ASM IP Holding B.V. |
Method and apparatus for filling a recess formed within a substrate surface |
11230766, |
Mar 29 2018 |
ASM IP HOLDING B V |
Substrate processing apparatus and method |
11232963, |
Oct 03 2018 |
ASM IP Holding B.V. |
Substrate processing apparatus and method |
11233133, |
Oct 21 2015 |
ASM IP Holding B.V. |
NbMC layers |
11242598, |
Jun 26 2015 |
ASM IP Holding B.V. |
Structures including metal carbide material, devices including the structures, and methods of forming same |
11244825, |
Nov 16 2018 |
ASM IP Holding B.V. |
Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
11251035, |
Dec 22 2016 |
ASM IP Holding B.V. |
Method of forming a structure on a substrate |
11251040, |
Feb 20 2019 |
ASM IP Holding B.V. |
Cyclical deposition method including treatment step and apparatus for same |
11251068, |
Oct 19 2018 |
ASM IP Holding B.V. |
Substrate processing apparatus and substrate processing method |
11270899, |
Jun 04 2018 |
ASM IP Holding B.V. |
Wafer handling chamber with moisture reduction |
11274369, |
Sep 11 2018 |
ASM IP Holding B.V. |
Thin film deposition method |
11282698, |
Jul 19 2019 |
ASM IP Holding B.V. |
Method of forming topology-controlled amorphous carbon polymer film |
11286558, |
Aug 23 2019 |
ASM IP Holding B.V. |
Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
11286562, |
Jun 08 2018 |
ASM IP Holding B.V. |
Gas-phase chemical reactor and method of using same |
11289326, |
May 07 2019 |
ASM IP Holding B.V. |
Method for reforming amorphous carbon polymer film |
11295980, |
Aug 30 2017 |
ASM IP HOLDING B V |
Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
11296189, |
Jun 21 2018 |
ASM IP Holding B.V. |
Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
11306395, |
Jun 28 2017 |
ASM IP HOLDING B V |
Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
11315794, |
Oct 21 2019 |
ASM IP Holding B.V. |
Apparatus and methods for selectively etching films |
11339476, |
Oct 08 2019 |
ASM IP Holding B.V. |
Substrate processing device having connection plates, substrate processing method |
11342216, |
Feb 20 2019 |
ASM IP Holding B.V. |
Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
11345999, |
Jun 06 2019 |
ASM IP Holding B.V. |
Method of using a gas-phase reactor system including analyzing exhausted gas |
11355338, |
May 10 2019 |
ASM IP Holding B.V. |
Method of depositing material onto a surface and structure formed according to the method |
11361990, |
May 28 2018 |
ASM IP Holding B.V. |
Substrate processing method and device manufactured by using the same |
11374112, |
Jul 19 2017 |
ASM IP Holding B.V. |
Method for depositing a group IV semiconductor and related semiconductor device structures |
11378337, |
Mar 28 2019 |
ASM IP Holding B.V. |
Door opener and substrate processing apparatus provided therewith |
11387106, |
Feb 14 2018 |
ASM IP Holding B.V. |
Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
11387120, |
Sep 28 2017 |
ASM IP Holding B.V. |
Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
11390945, |
Jul 03 2019 |
ASM IP Holding B.V. |
Temperature control assembly for substrate processing apparatus and method of using same |
11390946, |
Jan 17 2019 |
ASM IP Holding B.V. |
Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
11390950, |
Jan 10 2017 |
ASM IP HOLDING B V |
Reactor system and method to reduce residue buildup during a film deposition process |
11393690, |
Jan 19 2018 |
ASM IP HOLDING B V |
Deposition method |
11396702, |
Nov 15 2016 |
ASM IP Holding B.V. |
Gas supply unit and substrate processing apparatus including the gas supply unit |
11398382, |
Mar 27 2018 |
ASM IP Holding B.V. |
Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
11401605, |
Nov 26 2019 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11410851, |
Feb 15 2017 |
ASM IP Holding B.V. |
Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
11411088, |
Nov 16 2018 |
ASM IP Holding B.V. |
Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
11414760, |
Oct 08 2018 |
ASM IP Holding B.V. |
Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
11417545, |
Aug 08 2017 |
ASM IP Holding B.V. |
Radiation shield |
11424119, |
Mar 08 2019 |
ASM IP HOLDING B V |
Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
11430640, |
Jul 30 2019 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11430674, |
Aug 22 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
11437241, |
Apr 08 2020 |
ASM IP Holding B.V. |
Apparatus and methods for selectively etching silicon oxide films |
11443926, |
Jul 30 2019 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11447861, |
Dec 15 2016 |
ASM IP HOLDING B V |
Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
11447864, |
Apr 19 2019 |
ASM IP Holding B.V. |
Layer forming method and apparatus |
11453943, |
May 25 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
11453946, |
Jun 06 2019 |
ASM IP Holding B.V. |
Gas-phase reactor system including a gas detector |
11469098, |
May 08 2018 |
ASM IP Holding B.V. |
Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
11473195, |
Mar 01 2018 |
ASM IP Holding B.V. |
Semiconductor processing apparatus and a method for processing a substrate |
11476109, |
Jun 11 2019 |
ASM IP Holding B.V. |
Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
11482412, |
Jan 19 2018 |
ASM IP HOLDING B V |
Method for depositing a gap-fill layer by plasma-assisted deposition |
11482418, |
Feb 20 2018 |
ASM IP Holding B.V. |
Substrate processing method and apparatus |
11482533, |
Feb 20 2019 |
ASM IP Holding B.V. |
Apparatus and methods for plug fill deposition in 3-D NAND applications |
11488819, |
Dec 04 2018 |
ASM IP Holding B.V. |
Method of cleaning substrate processing apparatus |
11488854, |
Mar 11 2020 |
ASM IP Holding B.V. |
Substrate handling device with adjustable joints |
11492703, |
Jun 27 2018 |
ASM IP HOLDING B V |
Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11495459, |
Sep 04 2019 |
ASM IP Holding B.V. |
Methods for selective deposition using a sacrificial capping layer |
11499222, |
Jun 27 2018 |
ASM IP HOLDING B V |
Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11499226, |
Nov 02 2018 |
ASM IP Holding B.V. |
Substrate supporting unit and a substrate processing device including the same |
11501956, |
Oct 12 2012 |
ASM IP Holding B.V. |
Semiconductor reaction chamber showerhead |
11501968, |
Nov 15 2019 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method for providing a semiconductor device with silicon filled gaps |
11501973, |
Jan 16 2018 |
ASM IP Holding B.V. |
Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
11515187, |
May 01 2020 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Fast FOUP swapping with a FOUP handler |
11515188, |
May 16 2019 |
ASM IP Holding B.V. |
Wafer boat handling device, vertical batch furnace and method |
11521851, |
Feb 03 2020 |
ASM IP HOLDING B V |
Method of forming structures including a vanadium or indium layer |
11527400, |
Aug 23 2019 |
ASM IP Holding B.V. |
Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
11527403, |
Dec 19 2019 |
ASM IP Holding B.V. |
Methods for filling a gap feature on a substrate surface and related semiconductor structures |
11530483, |
Jun 21 2018 |
ASM IP Holding B.V. |
Substrate processing system |
11530876, |
Apr 24 2020 |
ASM IP Holding B.V. |
Vertical batch furnace assembly comprising a cooling gas supply |
11532757, |
Oct 27 2016 |
ASM IP Holding B.V. |
Deposition of charge trapping layers |
11551912, |
Jan 20 2020 |
ASM IP Holding B.V. |
Method of forming thin film and method of modifying surface of thin film |
11551925, |
Apr 01 2019 |
ASM IP Holding B.V. |
Method for manufacturing a semiconductor device |
11557474, |
Jul 29 2019 |
ASM IP Holding B.V. |
Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
11562901, |
Sep 25 2019 |
ASM IP Holding B.V. |
Substrate processing method |
11572620, |
Nov 06 2018 |
ASM IP Holding B.V. |
Methods for selectively depositing an amorphous silicon film on a substrate |
11581166, |
Jul 31 2020 |
Applied Materials, Inc |
Low profile deposition ring for enhanced life |
11581186, |
Dec 15 2016 |
ASM IP HOLDING B V |
Sequential infiltration synthesis apparatus |
11581220, |
Aug 30 2017 |
ASM IP Holding B.V. |
Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
11587814, |
Jul 31 2019 |
ASM IP Holding B.V. |
Vertical batch furnace assembly |
11587815, |
Jul 31 2019 |
ASM IP Holding B.V. |
Vertical batch furnace assembly |
11587821, |
Aug 08 2017 |
ASM IP Holding B.V. |
Substrate lift mechanism and reactor including same |
11594450, |
Aug 22 2019 |
ASM IP HOLDING B V |
Method for forming a structure with a hole |
11594600, |
Nov 05 2019 |
ASM IP Holding B.V. |
Structures with doped semiconductor layers and methods and systems for forming same |
11605528, |
Jul 09 2019 |
ASM IP Holding B.V. |
Plasma device using coaxial waveguide, and substrate treatment method |
11610774, |
Oct 02 2019 |
ASM IP Holding B.V. |
Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
11610775, |
Jul 28 2016 |
ASM IP HOLDING B V |
Method and apparatus for filling a gap |
11615970, |
Jul 17 2019 |
ASM IP HOLDING B V |
Radical assist ignition plasma system and method |
11615980, |
Feb 20 2019 |
ASM IP Holding B.V. |
Method and apparatus for filling a recess formed within a substrate surface |
11626308, |
May 13 2020 |
ASM IP Holding B.V. |
Laser alignment fixture for a reactor system |
11626316, |
Nov 20 2019 |
ASM IP Holding B.V. |
Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
11629406, |
Mar 09 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
11629407, |
Feb 22 2019 |
ASM IP Holding B.V. |
Substrate processing apparatus and method for processing substrates |
11637011, |
Oct 16 2019 |
ASM IP Holding B.V. |
Method of topology-selective film formation of silicon oxide |
11637014, |
Oct 17 2019 |
ASM IP Holding B.V. |
Methods for selective deposition of doped semiconductor material |
11639548, |
Aug 21 2019 |
ASM IP Holding B.V. |
Film-forming material mixed-gas forming device and film forming device |
11639811, |
Nov 27 2017 |
ASM IP HOLDING B V |
Apparatus including a clean mini environment |
11643724, |
Jul 18 2019 |
ASM IP Holding B.V. |
Method of forming structures using a neutral beam |
11644758, |
Jul 17 2020 |
ASM IP Holding B.V. |
Structures and methods for use in photolithography |
11646184, |
Nov 29 2019 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11646197, |
Jul 03 2018 |
ASM IP Holding B.V. |
Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
11646204, |
Jun 24 2020 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method for forming a layer provided with silicon |
11646205, |
Oct 29 2019 |
ASM IP Holding B.V. |
Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
11649546, |
Jul 08 2016 |
ASM IP Holding B.V. |
Organic reactants for atomic layer deposition |
11658029, |
Dec 14 2018 |
ASM IP HOLDING B V |
Method of forming a device structure using selective deposition of gallium nitride and system for same |
11658030, |
Mar 29 2017 |
ASM IP Holding B.V. |
Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
11658035, |
Jun 30 2020 |
ASM IP HOLDING B V |
Substrate processing method |
11664199, |
Oct 19 2018 |
ASM IP Holding B.V. |
Substrate processing apparatus and substrate processing method |
11664245, |
Jul 16 2019 |
ASM IP Holding B.V. |
Substrate processing device |
11664267, |
Jul 10 2019 |
ASM IP Holding B.V. |
Substrate support assembly and substrate processing device including the same |
11674220, |
Jul 20 2020 |
ASM IP Holding B.V. |
Method for depositing molybdenum layers using an underlayer |
11676812, |
Feb 19 2016 |
ASM IP Holding B.V. |
Method for forming silicon nitride film selectively on top/bottom portions |
11680839, |
Aug 05 2019 |
ASM IP Holding B.V. |
Liquid level sensor for a chemical source vessel |
11682572, |
Nov 27 2017 |
ASM IP Holdings B.V. |
Storage device for storing wafer cassettes for use with a batch furnace |
11685991, |
Feb 14 2018 |
ASM IP HOLDING B V ; Universiteit Gent |
Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
11688603, |
Jul 17 2019 |
ASM IP Holding B.V. |
Methods of forming silicon germanium structures |
11694892, |
Jul 28 2016 |
ASM IP Holding B.V. |
Method and apparatus for filling a gap |
11695054, |
Jul 18 2017 |
ASM IP Holding B.V. |
Methods for forming a semiconductor device structure and related semiconductor device structures |
11705333, |
May 21 2020 |
ASM IP Holding B.V. |
Structures including multiple carbon layers and methods of forming and using same |
11718913, |
Jun 04 2018 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Gas distribution system and reactor system including same |
11725277, |
Jul 20 2011 |
ASM IP HOLDING B V |
Pressure transmitter for a semiconductor processing environment |
11725280, |
Aug 26 2020 |
ASM IP Holding B.V. |
Method for forming metal silicon oxide and metal silicon oxynitride layers |
11735414, |
Feb 06 2018 |
ASM IP Holding B.V. |
Method of post-deposition treatment for silicon oxide film |
11735422, |
Oct 10 2019 |
ASM IP HOLDING B V |
Method of forming a photoresist underlayer and structure including same |
11735445, |
Oct 31 2018 |
ASM IP Holding B.V. |
Substrate processing apparatus for processing substrates |
11742189, |
Mar 12 2015 |
ASM IP Holding B.V. |
Multi-zone reactor, system including the reactor, and method of using the same |
11742198, |
Mar 08 2019 |
ASM IP Holding B.V. |
Structure including SiOCN layer and method of forming same |
11746414, |
Jul 03 2019 |
ASM IP Holding B.V. |
Temperature control assembly for substrate processing apparatus and method of using same |
11749562, |
Jul 08 2016 |
ASM IP Holding B.V. |
Selective deposition method to form air gaps |
11767589, |
May 29 2020 |
ASM IP Holding B.V. |
Substrate processing device |
11769670, |
Dec 13 2018 |
ASM IP Holding B.V. |
Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
11769682, |
Aug 09 2017 |
ASM IP Holding B.V. |
Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
11776846, |
Feb 07 2020 |
ASM IP Holding B.V. |
Methods for depositing gap filling fluids and related systems and devices |
11781221, |
May 07 2019 |
ASM IP Holding B.V. |
Chemical source vessel with dip tube |
11781243, |
Feb 17 2020 |
ASM IP Holding B.V. |
Method for depositing low temperature phosphorous-doped silicon |
11795545, |
Oct 07 2014 |
ASM IP Holding B.V. |
Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
11798830, |
May 01 2020 |
ASM IP Holding B.V. |
Fast FOUP swapping with a FOUP handler |
11798834, |
Feb 20 2019 |
ASM IP Holding B.V. |
Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
11798999, |
Nov 16 2018 |
ASM IP Holding B.V. |
Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
11802338, |
Jul 26 2017 |
ASM IP Holding B.V. |
Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
11804364, |
May 19 2020 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11804388, |
Sep 11 2018 |
ASM IP Holding B.V. |
Substrate processing apparatus and method |
11810788, |
Nov 01 2016 |
ASM IP Holding B.V. |
Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
11814715, |
Jun 27 2018 |
ASM IP Holding B.V. |
Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
11814747, |
Apr 24 2019 |
ASM IP Holding B.V. |
Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
11821078, |
Apr 15 2020 |
ASM IP HOLDING B V |
Method for forming precoat film and method for forming silicon-containing film |
11823866, |
Apr 02 2020 |
ASM IP Holding B.V. |
Thin film forming method |
11823876, |
Sep 05 2019 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Substrate processing apparatus |
11827978, |
Aug 23 2019 |
ASM IP Holding B.V. |
Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
11827981, |
Oct 14 2020 |
ASM IP HOLDING B V |
Method of depositing material on stepped structure |
11828707, |
Feb 04 2020 |
ASM IP Holding B.V. |
Method and apparatus for transmittance measurements of large articles |
11830730, |
Aug 29 2017 |
ASM IP HOLDING B V |
Layer forming method and apparatus |
11830738, |
Apr 03 2020 |
ASM IP Holding B.V. |
Method for forming barrier layer and method for manufacturing semiconductor device |
11837483, |
Jun 04 2018 |
ASM IP Holding B.V. |
Wafer handling chamber with moisture reduction |
11837494, |
Mar 11 2020 |
ASM IP Holding B.V. |
Substrate handling device with adjustable joints |
11840761, |
Dec 04 2019 |
ASM IP Holding B.V. |
Substrate processing apparatus |
11848200, |
May 08 2017 |
ASM IP Holding B.V. |
Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
11851755, |
Dec 15 2016 |
ASM IP Holding B.V. |
Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
11866823, |
Nov 02 2018 |
ASM IP Holding B.V. |
Substrate supporting unit and a substrate processing device including the same |
11873557, |
Oct 22 2020 |
ASM IP HOLDING B V |
Method of depositing vanadium metal |
11876008, |
Jul 31 2019 |
ASM IP Holding B.V. |
Vertical batch furnace assembly |
11876356, |
Mar 11 2020 |
ASM IP Holding B.V. |
Lockout tagout assembly and system and method of using same |
11885013, |
Dec 17 2019 |
ASM IP Holding B.V. |
Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
11885020, |
Dec 22 2020 |
ASM IP Holding B.V. |
Transition metal deposition method |
11885023, |
Oct 01 2018 |
ASM IP Holding B.V. |
Substrate retaining apparatus, system including the apparatus, and method of using same |
11887857, |
Apr 24 2020 |
ASM IP Holding B.V. |
Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
11891696, |
Nov 30 2020 |
ASM IP Holding B.V. |
Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
11898242, |
Aug 23 2019 |
ASM IP Holding B.V. |
Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
11898243, |
Apr 24 2020 |
ASM IP Holding B.V. |
Method of forming vanadium nitride-containing layer |
11901175, |
Mar 08 2019 |
ASM IP Holding B.V. |
Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
11901179, |
Oct 28 2020 |
ASM IP HOLDING B V |
Method and device for depositing silicon onto substrates |
11908684, |
Jun 11 2019 |
ASM IP Holding B.V. |
Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
11908733, |
May 28 2018 |
ASM IP Holding B.V. |
Substrate processing method and device manufactured by using the same |
11915929, |
Nov 26 2019 |
ASM IP Holding B.V. |
Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
D891382, |
Feb 08 2019 |
Applied Materials, Inc |
Process shield for a substrate processing chamber |
D913980, |
Feb 01 2018 |
ASM IP Holding B.V. |
Gas supply plate for semiconductor manufacturing apparatus |
D922229, |
Jun 05 2019 |
ASM IP Holding B.V. |
Device for controlling a temperature of a gas supply unit |
D930782, |
Aug 22 2019 |
ASM IP Holding B.V. |
Gas distributor |
D931978, |
Jun 27 2019 |
ASM IP Holding B.V. |
Showerhead vacuum transport |
D933725, |
Feb 08 2019 |
Applied Materials, Inc |
Deposition ring for a substrate processing chamber |
D933726, |
Jul 31 2020 |
Applied Materials, Inc |
Deposition ring for a semiconductor processing chamber |
D934315, |
Mar 20 2020 |
Applied Materials, Inc |
Deposition ring for a substrate processing chamber |
D935572, |
May 24 2019 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Gas channel plate |
D940837, |
Aug 22 2019 |
ASM IP Holding B.V. |
Electrode |
D943539, |
Mar 19 2020 |
Applied Materials, Inc |
Confinement plate for a substrate processing chamber |
D944946, |
Jun 14 2019 |
ASM IP Holding B.V. |
Shower plate |
D947913, |
May 17 2019 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Susceptor shaft |
D948463, |
Oct 24 2018 |
ASM IP Holding B.V. |
Susceptor for semiconductor substrate supporting apparatus |
D949319, |
Aug 22 2019 |
ASM IP Holding B.V. |
Exhaust duct |
D965044, |
Aug 19 2019 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Susceptor shaft |
D965524, |
Aug 19 2019 |
ASM IP Holding B.V. |
Susceptor support |
D975665, |
May 17 2019 |
ASM IP Holding B.V. |
Susceptor shaft |
D979506, |
Aug 22 2019 |
ASM IP Holding B.V. |
Insulator |
D979524, |
Mar 19 2020 |
Applied Materials, Inc |
Confinement liner for a substrate processing chamber |
D980813, |
May 11 2021 |
ASM IP HOLDING B V |
Gas flow control plate for substrate processing apparatus |
D980814, |
May 11 2021 |
ASM IP HOLDING B V |
Gas distributor for substrate processing apparatus |
D981459, |
Jun 16 2021 |
Ebara Corporation |
Retaining ring for substrate |
D981973, |
May 11 2021 |
ASM IP HOLDING B V |
Reactor wall for substrate processing apparatus |
D986190, |
Mar 19 2020 |
Applied Materials, Inc. |
Confinement plate for a substrate processing chamber |
ER287, |
|
|
|
ER3866, |
|
|
|
ER3967, |
|
|
|
ER4489, |
|
|
|
ER6015, |
|
|
|
ER6328, |
|
|
|
ER8750, |
|
|
|
ER9340, |
|
|
|
Patent |
Priority |
Assignee |
Title |
10018920, |
Mar 04 2016 |
TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD |
Lithography patterning with a gas phase resist |
10047435, |
Apr 16 2014 |
ASM IP HOLDING B V |
Dual selective deposition |
10106892, |
Aug 31 2017 |
GLOBALFOUNDRIES U S INC |
Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same |
10121671, |
Aug 28 2015 |
Applied Materials, Inc |
Methods of depositing metal films using metal oxyhalide precursors |
10147600, |
Nov 04 2011 |
ASM International N.V. |
Methods for forming doped silicon oxide thin films |
10177025, |
Jul 28 2016 |
ASM IP HOLDING B V |
Method and apparatus for filling a gap |
10179947, |
Nov 26 2013 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
10186420, |
Nov 29 2016 |
ASM IP Holding B.V. |
Formation of silicon-containing thin films |
10190213, |
Apr 21 2016 |
ASM IP HOLDING B V |
Deposition of metal borides |
10211308, |
Oct 21 2015 |
ASM IP Holding B.V. |
NbMC layers |
10229833, |
Nov 01 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
10236177, |
Aug 22 2017 |
ASM IP HOLDING B V |
Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
10249524, |
Aug 09 2017 |
ASM IP Holding B.V. |
Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
10249577, |
May 17 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
10262859, |
Mar 24 2016 |
ASM IP Holding B.V. |
Process for forming a film on a substrate using multi-port injection assemblies |
10269558, |
Dec 22 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method of forming a structure on a substrate |
10276355, |
Mar 12 2015 |
ASM IP Holding B.V. |
Multi-zone reactor, system including the reactor, and method of using the same |
10283353, |
Mar 29 2017 |
ASM IP HOLDING B V |
Method of reforming insulating film deposited on substrate with recess pattern |
10290508, |
Dec 05 2017 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method for forming vertical spacers for spacer-defined patterning |
10312055, |
Jul 26 2017 |
ASM IP Holding B.V. |
Method of depositing film by PEALD using negative bias |
10312129, |
Sep 29 2015 |
ASM IP Holding B.V. |
Variable adjustment for precise matching of multiple chamber cavity housings |
10319588, |
Oct 10 2017 |
ASM IP HOLDING B V |
Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
10322384, |
Nov 09 2015 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Counter flow mixer for process chamber |
10340125, |
Mar 08 2013 |
ASM IP Holding B.V. |
Pulsed remote plasma method and system |
10340135, |
Nov 28 2016 |
ASM IP Holding B.V.; ASM IP HOLDING B V |
Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
10343920, |
Mar 18 2016 |
ASM IP HOLDING B V |
Aligned carbon nanotubes |
10361201, |
Sep 27 2013 |
ASM IP Holding B.V. |
Semiconductor structure and device formed using selective epitaxial process |
2266416, |
|
|
|
3263502, |
|
|
|
3913058, |
|
|
|
4721533, |
Aug 01 1986 |
VESUVIUS CRUCIBLE COMPANY, A PA CORP |
Protective structure for an immersion pyrometer |
4749416, |
Aug 01 1986 |
VESUVIUS CRUCIBLE COMPANY, A PA CORP |
Immersion pyrometer with protective structure for sidewall use |
5002632, |
Nov 22 1989 |
Texas Instruments Incorporated |
Method and apparatus for etching semiconductor materials |
5053247, |
Feb 28 1989 |
Moore Epitaxial, Inc.; MOORE EPITAXIAL, INC |
Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby |
5069591, |
Mar 24 1988 |
Tokyo Electron Limited |
Semiconductor wafer-processing apparatus |
5082517, |
Aug 23 1990 |
Texas Instruments Incorporated |
Plasma density controller for semiconductor device processing equipment |
5084126, |
Dec 29 1988 |
Texas Instruments Incorporated |
Method and apparatus for uniform flow distribution in plasma reactors |
5158128, |
Sep 01 1988 |
Sumitec, Inc.; Sumitomo Metal Industries, Ltd. |
Thermocouple for a continuous casting machine |
5273609, |
Sep 12 1990 |
Texas Instruments Incorporated |
Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
5281274, |
Jun 22 1990 |
The United States of America as represented by the Secretary of the Navy |
Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors |
5305417, |
Mar 26 1993 |
Texas Instruments Incorporated |
Apparatus and method for determining wafer temperature using pyrometry |
5320218, |
Apr 07 1992 |
MURATEC AUTOMATION CO , LTD |
Closed container to be used in a clean room |
5338362, |
Aug 29 1992 |
Tokyo Electron Limited |
Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
5348774, |
Aug 11 1993 |
AlliedSignal Inc. |
Method of rapidly densifying a porous structure |
5388945, |
Aug 04 1992 |
International Business Machines Corporation |
Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers |
5397395, |
Oct 29 1990 |
Canon Kabushiki Kaisha |
Method of continuously forming a large area functional deposited film by microwave PCVD and apparatus for the same |
5423942, |
Jun 20 1994 |
Texas Instruments Incorporated |
Method and apparatus for reducing etching erosion in a plasma containment tube |
5431734, |
Apr 28 1994 |
International Business Machines Corporation |
Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control |
5447294, |
Jan 21 1993 |
Tokyo Electron Limited |
Vertical type heat treatment system |
5463176, |
Jan 03 1994 |
|
Liquid waste oxygenation |
5480818, |
Feb 10 1992 |
Fujitsu Limited |
Method for forming a film and method for manufacturing a thin film transistor |
5482559, |
Oct 21 1993 |
Tokyo Electron Limited |
Heat treatment boat |
5484484, |
Jul 03 1993 |
Tokyo Electron Limited |
Thermal processing method and apparatus therefor |
5531218, |
Apr 17 1993 |
INO Therapeutics GmbH |
Apparatus for the monitored metering of no into patients' respiratory air |
5586585, |
Feb 27 1995 |
CROSSING AUTOMATION, INC |
Direct loadlock interface |
5667592, |
Apr 16 1996 |
Novellus Systems, Inc |
Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
5685912, |
Jun 20 1995 |
Sony Corporation |
Pressure control system for semiconductor manufacturing equipment |
5728425, |
Mar 18 1992 |
Fujitsu Limited |
Method for chemical vapor deposition of semiconductor films by separate feeding of source gases and growing of films |
5730802, |
May 20 1994 |
Sharp Kabushiki Kaisha |
Vapor growth apparatus and vapor growth method capable of growing good productivity |
5801945, |
Jun 28 1996 |
Lam Research Corporation |
Scheduling method for robotic manufacturing processes |
5827420, |
Jul 29 1997 |
World Precision Instruments, Inc. |
Method and apparatus for the generation of nitric oxide |
5863123, |
Apr 24 1996 |
Samsung Electronics Co., Ltd. |
Profile thermocouple of a transverse-type diffusion furnace |
5866795, |
Mar 17 1997 |
Applied Materials, Inc. |
Liquid flow rate estimation and verification by direct liquid measurement |
5879459, |
Aug 29 1997 |
EUGENUS, INC |
Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
5893741, |
Feb 07 1997 |
National Science Council |
Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's |
5904170, |
May 14 1997 |
Applied Materials, Inc. |
Pressure flow and concentration control of oxygen/ozone gas mixtures |
5915562, |
Jul 12 1996 |
ENTERGIS, INC |
Transport module with latching door |
5939886, |
Oct 24 1994 |
Advanced Energy Industries, Inc |
Plasma monitoring and control method and system |
5992453, |
Oct 17 1995 |
|
Flow-dividing arrangement |
6000732, |
Sep 22 1995 |
Entegris, Inc |
Arrangement for locking and unlocking a door of a container |
6035804, |
Nov 07 1997 |
Tokyo Electron Limited |
Process chamber apparatus |
6053983, |
May 08 1997 |
Tokyo Electron, Ltd. |
Wafer for carrying semiconductor wafers and method detecting wafers on carrier |
6072163, |
Mar 05 1998 |
FSI International, Inc |
Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate |
6074154, |
Aug 29 1996 |
Tokyo Electron Limited |
Substrate treatment system, substrate transfer system, and substrate transfer method |
6079356, |
Dec 02 1997 |
Applied Materials, Inc. |
Reactor optimized for chemical vapor deposition of titanium |
6079927, |
Apr 22 1998 |
Varian Semiconductor Equipment Associates, Inc |
Automated wafer buffer for use with wafer processing equipment |
6095083, |
Jun 27 1991 |
Applied Materials, Inc |
Vacuum processing chamber having multi-mode access |
6106625, |
Dec 02 1997 |
Applied Materials, Inc. |
Reactor useful for chemical vapor deposition of titanium nitride |
6119710, |
May 26 1999 |
PIVOTAL SYSTEMS CORPORATION |
Method for wide range gas flow system with real time flow measurement and correction |
6120008, |
Apr 28 1998 |
LIFE INTERNATIONAL PRODUCTS, INC |
Oxygenating apparatus, method for oxygenating a liquid therewith, and applications thereof |
6143082, |
Oct 08 1998 |
Novellus Systems, Inc.; Novellus Systems, Inc |
Isolation of incompatible processes in a multi-station processing chamber |
6143659, |
Nov 18 1997 |
Samsung Electronics, Co., Ltd. |
Method for manufacturing aluminum metal interconnection layer by atomic layer deposition method |
6190037, |
Feb 19 1999 |
Applied Materials, Inc |
Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system |
6190457, |
Mar 22 1996 |
Taiyo Nippon Sanso Corporation |
CVD system and CVD process |
6203969, |
Sep 14 1998 |
Tokyo Electron Limited |
Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein |
6231290, |
Mar 23 1998 |
Tokyo Electron |
Processing method and processing unit for substrate |
6238734, |
Jul 08 1999 |
VERSUM MATERIALS US, LLC |
Liquid precursor mixtures for deposition of multicomponent metal containing materials |
6287988, |
Mar 18 1997 |
TOSHIBA MEMORY CORPORATION |
Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device |
6296710, |
Oct 06 1999 |
Advanced Micro Devices, Inc. |
Multi-port gas injector for a vertical furnace used in semiconductor processing |
6335049, |
Jan 03 2000 |
Micron Technology, Inc. |
Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor |
6346419, |
Jun 26 2000 |
University Technology Corporation |
Photolysis system for fast-response NO2 measurements and method therefor |
6379466, |
Jan 17 1992 |
Applied Materials, Inc. |
Temperature controlled gas distribution plate |
6390754, |
May 21 1997 |
Tokyo Electron Limited |
Wafer processing apparatus, method of operating the same and wafer detecting system |
6439822, |
Sep 22 1998 |
Tokyo Electron Limited |
Substrate processing apparatus and substrate processing method |
6450117, |
Aug 07 2000 |
Applied Materials, Inc |
Directing a flow of gas in a substrate processing chamber |
6455098, |
Mar 09 2000 |
Semix Incorporated |
Wafer processing apparatus and method |
6481945, |
Jun 05 1998 |
ASM IP HOLDING B V |
Method and device for transferring wafers |
6498091, |
Nov 01 2000 |
Applied Materials, Inc |
Method of using a barrier sputter reactor to remove an underlying barrier layer |
6502530, |
Apr 26 2000 |
EVATEC AG |
Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
6503365, |
Apr 21 1998 |
Samsung Electronics Co., Ltd. |
Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing |
6506009, |
Mar 16 2000 |
Applied Materials, Inc |
Apparatus for storing and moving a cassette |
6527884, |
Jan 20 2000 |
KOKUSAI ELECTRIC CORPORATION |
Hydrogen annealing process and apparatus therefor |
6558517, |
May 26 2000 |
U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT |
Physical vapor deposition methods |
6582174, |
Jan 26 1999 |
KOKUSAI ELECTRIC CORPORATION |
Substrate processing apparatus and substrate processing method |
6589352, |
Dec 10 1999 |
Applied Materials, Inc |
Self aligning non contact shadow ring process kit |
6696367, |
Sep 27 2002 |
ASM IP HOLDING B V |
System for the improved handling of wafers within a process tool |
6812157, |
Jun 24 1999 |
ATOMIC PRECISION SYSTEMS, INC |
Apparatus for atomic layer chemical vapor deposition |
6815352, |
Nov 09 1999 |
Shin-Etsu Chemical Co., Ltd. |
Silicon focus ring and method for producing the same |
6838122, |
Jul 13 2001 |
U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT |
Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers |
6841201, |
Dec 21 2001 |
The Procter & Gamble Company; Procter and Gamble Company |
Apparatus and method for treating a workpiece using plasma generated from microwave radiation |
6861642, |
Mar 26 2001 |
ASM IP HOLDING B V |
Neutral particle beam processing apparatus |
6867859, |
Aug 03 1999 |
Lightwind Corporation |
Inductively coupled plasma spectrometer for process diagnostics and control |
6876191, |
Feb 25 2002 |
ASM INTERNATIONAL N V |
Apparatus for treating wafers, provided with a sensor box |
6878206, |
Jul 16 2001 |
Applied Materials, Inc.; Applied Materials, Inc |
Lid assembly for a processing system to facilitate sequential deposition techniques |
6883733, |
Mar 28 2002 |
Novellus Systems, Inc.; Novellus Systems, Inc |
Tapered post, showerhead design to improve mixing on dual plenum showerheads |
6884475, |
May 26 2000 |
U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT |
Chemical vapor deposition method for depositing a high k dielectric film |
6916398, |
Oct 26 2001 |
Applied Materials, Inc |
Gas delivery apparatus and method for atomic layer deposition |
6949204, |
Aug 08 2000 |
Lam Research Corporation |
Deformation reduction at the main chamber |
6963052, |
Jun 05 2002 |
SUMITOMO ELECTRIC INDUSTRIES, LTD |
Heater module for semiconductor manufacturing equipment |
6982103, |
Jul 13 2001 |
Micron Technology, Inc. |
Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
6984591, |
Apr 20 2000 |
GLOBALFOUNDRIES Inc |
Precursor source mixtures |
7049226, |
Sep 26 2001 |
Applied Materials, Inc. |
Integration of ALD tantalum nitride for copper metallization |
7052584, |
May 26 2000 |
U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT |
Method of forming a capacitor |
7109098, |
May 17 2005 |
Applied Materials, Inc |
Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
7144809, |
Oct 15 1999 |
ASM International N.V. |
Production of elemental films using a boron-containing reducing agent |
7202512, |
Feb 17 2004 |
Industrial Technology Research Institute |
Construction of thin strain-relaxed SiGe layers and method for fabricating the same |
7204886, |
Nov 14 2002 |
Applied Materials, Inc |
Apparatus and method for hybrid chemical processing |
7208198, |
Jul 13 2001 |
U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT |
Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer |
7217617, |
May 26 2000 |
U S BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT |
Methods of forming a capacitor |
7326656, |
Sep 30 2004 |
TAHOE RESEARCH, LTD |
Method of forming a metal oxide dielectric |
7422636, |
Mar 25 2005 |
Tokyo Electron Limited |
Plasma enhanced atomic layer deposition system having reduced contamination |
7456429, |
Mar 29 2006 |
Eastman Kodak Company |
Apparatus for atomic layer deposition |
7467632, |
Jan 05 2004 |
Hynix Semiconductor Inc. |
Method for forming a photoresist pattern |
7504344, |
Jun 30 2005 |
ASM JAPAN K K |
Method of forming a carbon polymer film using plasma CVD |
7544398, |
Apr 26 2005 |
Novellus Systems, Inc |
Controlled nano-doping of ultra thin films |
7712435, |
Sep 28 2004 |
ASM JAPAN K K |
Plasma processing apparatus with insulated gas inlet pore |
7758698, |
Nov 28 2006 |
Applied Materials, Inc. |
Dual top gas feed through distributor for high density plasma chamber |
7780789, |
Oct 26 2001 |
Applied Materials, Inc |
Vortex chamber lids for atomic layer deposition |
7799706, |
Feb 11 2008 |
Sungkyunkwan University Foundation for Corporate Collaboration |
Neutral beam-assisted atomic layer chemical vapor deposition apparatus and method of processing substrate using the same |
7833348, |
Sep 21 2005 |
Sumco Corporation |
Temperature control method of epitaxial growth apparatus |
7894474, |
Sep 10 1999 |
HOME CONTROL SINGAPORE PTE LTD |
Remote control of an electronic device through downloading of a control interface of the electronic device in a mobile station |
7919142, |
Mar 22 2005 |
Sungkyunkwan University Foundation for Corporate Collaboration |
Atomic layer deposition apparatus using neutral beam and method of depositing atomic layer using the same |
7994070, |
Sep 30 2010 |
Tokyo Electron Limited |
Low-temperature dielectric film formation by chemical vapor deposition |
8206506, |
Jul 07 2008 |
Lam Research Corporation |
Showerhead electrode |
8402918, |
Apr 07 2009 |
Lam Research Corporation |
Showerhead electrode with centering feature |
8419959, |
Sep 18 2009 |
Lam Research Corporation |
Clamped monolithic showerhead electrode |
8465903, |
Oct 06 2010 |
Applied Materials, Inc |
Radiation patternable CVD film |
8557712, |
Dec 15 2008 |
Novellus Systems, Inc. |
PECVD flowable dielectric gap fill |
8573152, |
Sep 03 2010 |
Lam Research Corporation |
Showerhead electrode |
8573154, |
Jan 13 2010 |
Honda Motor Co., Ltd. |
Plasma film forming apparatus |
8586484, |
Mar 04 2009 |
FUJI ELECTRIC CO , LTD |
Film forming method and film forming apparatus |
8647993, |
Apr 11 2011 |
Novellus Systems, Inc. |
Methods for UV-assisted conformal film deposition |
8728956, |
Apr 15 2010 |
Novellus Systems, Inc |
Plasma activated conformal film deposition |
8741062, |
Apr 22 2008 |
Picosun Oy |
Apparatus and methods for deposition reactors |
8828886, |
Oct 05 2009 |
TOHOKU UNIVERSITY |
Low dielectric constant insulating film and method for forming the same |
8859368, |
Sep 04 2012 |
GLOBALFOUNDRIES Inc.; GLOBALFOUNDRIES Inc |
Semiconductor device incorporating a multi-function layer into gate stacks |
8876974, |
Jul 28 2009 |
LIDADP CO , LTD |
Chemical vapor deposition apparatus capable of controlling discharging fluid flow path in reaction chamber |
8900999, |
Aug 16 2013 |
Applied Materials, Inc. |
Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application |
8980006, |
Jan 11 2011 |
DMS CO , LTD |
Apparatus for chemical vapor deposition |
9004744, |
Mar 30 2009 |
TECHNIBLEND, INC |
Fluid mixer using countercurrent injection |
9029244, |
Jan 19 2005 |
ASM KOREA LTD |
Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus |
9076726, |
Dec 31 2012 |
IMEC |
Method for tuning the effective work function of a gate structure in a semiconductor device |
9127358, |
Jan 14 2011 |
Tokyo Electron Limited |
Film forming apparatus |
9184054, |
Apr 25 2014 |
Taiwan Semiconductor Manufacturing Company, Ltd |
Method for integrated circuit patterning |
9252238, |
Aug 18 2014 |
GLOBALFOUNDRIES U S INC |
Semiconductor structures with coplanar recessed gate layers and fabrication methods |
9267204, |
Sep 04 2008 |
Tokyo Electron Limited |
Film deposition apparatus, substrate processing apparatus, film deposition method, and storage medium |
9287273, |
Jun 06 2014 |
IMEC VZW |
Method for manufacturing a semiconductor device comprising transistors each having a different effective work function |
9309978, |
Mar 14 2013 |
SIEMENS ENERGY, INC |
Low head to stem ratio poppet valve |
9337057, |
Jul 21 2014 |
Samsung Electronics Co., Ltd. |
Semiconductor device and method for fabricating the same |
9362137, |
Aug 18 2014 |
Samsung Electronics Co., Ltd. |
Plasma treating apparatus, substrate treating method, and method of manufacturing a semiconductor device |
9362180, |
Feb 25 2014 |
GLOBALFOUNDRIES U S INC |
Integrated circuit having multiple threshold voltages |
9399228, |
Feb 06 2013 |
Novellus Systems, Inc. |
Method and apparatus for purging and plasma suppression in a process chamber |
9523148, |
Aug 25 2015 |
ASM IP HOLDING B V |
Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
9570302, |
Feb 10 2016 |
TAIWAN SEMICONDUCTOR MANUFACTURING CO , LTD |
Method of patterning a material layer |
9576952, |
Feb 25 2014 |
GLOBALFOUNDRIES U S INC |
Integrated circuits with varying gate structures and fabrication methods |
9583345, |
Dec 26 2013 |
Taiwan Semiconductor Manufacturing Company, Ltd |
Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning |
9684234, |
Mar 24 2011 |
UChicago Argonne, LLC |
Sequential infiltration synthesis for enhancing multiple-patterning lithography |
9748145, |
Feb 29 2016 |
GLOBALFOUNDRIES U S INC |
Semiconductor devices with varying threshold voltage and fabrication methods thereof |
9786491, |
Nov 12 2015 |
ASM IP HOLDING B V |
Formation of SiOCN thin films |
9820289, |
Dec 18 2014 |
Sprint Spectrum LLC |
Method and system for managing quantity of carriers in air interface connection based on type of content |
9865455, |
Sep 07 2016 |
Lam Research Corporation |
Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
9865815, |
Sep 24 2015 |
Lam Research Coporation |
Bromine containing silicon precursors for encapsulation layers |
9868131, |
Apr 07 2011 |
Picosun Oy |
Atomic layer deposition with plasma source |
9875893, |
Nov 04 2011 |
ASM International N.V. |
Methods for forming doped silicon oxide thin films |
9951421, |
Dec 10 2014 |
Lam Research Corporation |
Inlet for effective mixing and purging |
9997357, |
Apr 15 2010 |
Lam Research Corporation |
Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
9997373, |
Dec 04 2014 |
Lam Research Corporation |
Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
20010000141, |
|
|
|
20010014267, |
|
|
|
20010031541, |
|
|
|
20010039922, |
|
|
|
20010039966, |
|
|
|
20010041250, |
|
|
|
20010054388, |
|
|
|
20020008270, |
|
|
|
20020025688, |
|
|
|
20020027945, |
|
|
|
20020043337, |
|
|
|
20020048634, |
|
|
|
20020073922, |
|
|
|
20020090735, |
|
|
|
20020099470, |
|
|
|
20020100418, |
|
|
|
20020104751, |
|
|
|
20020123237, |
|
|
|
20020160112, |
|
|
|
20030000647, |
|
|
|
20030008528, |
|
|
|
20030017265, |
|
|
|
20030017266, |
|
|
|
20030040120, |
|
|
|
20030040196, |
|
|
|
20030041971, |
|
|
|
20030045961, |
|
|
|
20030049372, |
|
|
|
20030066482, |
|
|
|
20030072882, |
|
|
|
20030077857, |
|
|
|
20030077883, |
|
|
|
20030101938, |
|
|
|
20030111013, |
|
|
|
20030124792, |
|
|
|
20030140851, |
|
|
|
20030170945, |
|
|
|
20030176074, |
|
|
|
20030190804, |
|
|
|
20030213435, |
|
|
|
20030213560, |
|
|
|
20030232511, |
|
|
|
20040011504, |
|
|
|
20040015300, |
|
|
|
20040025787, |
|
|
|
20040035358, |
|
|
|
20040043544, |
|
|
|
20040048452, |
|
|
|
20040056017, |
|
|
|
20040065255, |
|
|
|
20040069226, |
|
|
|
20040083975, |
|
|
|
20040089236, |
|
|
|
20040092073, |
|
|
|
20040105738, |
|
|
|
20040127069, |
|
|
|
20040142577, |
|
|
|
20040185177, |
|
|
|
20040187784, |
|
|
|
20040202786, |
|
|
|
20040223893, |
|
|
|
20040228968, |
|
|
|
20040241322, |
|
|
|
20050009325, |
|
|
|
20050017272, |
|
|
|
20050046825, |
|
|
|
20050056218, |
|
|
|
20050056780, |
|
|
|
20050059261, |
|
|
|
20050059264, |
|
|
|
20050095859, |
|
|
|
20050107627, |
|
|
|
20050109461, |
|
|
|
20050115946, |
|
|
|
20050148162, |
|
|
|
20050161434, |
|
|
|
20050183827, |
|
|
|
20050186688, |
|
|
|
20050193948, |
|
|
|
20050208217, |
|
|
|
20050208219, |
|
|
|
20050229849, |
|
|
|
20050252447, |
|
|
|
20050252455, |
|
|
|
20050253061, |
|
|
|
20050271812, |
|
|
|
20050284991, |
|
|
|
20060009044, |
|
|
|
20060048710, |
|
|
|
20060057799, |
|
|
|
20060108221, |
|
|
|
20060113038, |
|
|
|
20060137608, |
|
|
|
20060141155, |
|
|
|
20060151117, |
|
|
|
20060165892, |
|
|
|
20060166428, |
|
|
|
20060228898, |
|
|
|
20060240187, |
|
|
|
20060257563, |
|
|
|
20060275710, |
|
|
|
20070020160, |
|
|
|
20070026162, |
|
|
|
20070032045, |
|
|
|
20070062646, |
|
|
|
20070066038, |
|
|
|
20070087296, |
|
|
|
20070095283, |
|
|
|
20070095286, |
|
|
|
20070128858, |
|
|
|
20070131168, |
|
|
|
20070134919, |
|
|
|
20070148347, |
|
|
|
20070184179, |
|
|
|
20070190362, |
|
|
|
20070190782, |
|
|
|
20070231488, |
|
|
|
20070252233, |
|
|
|
20070258855, |
|
|
|
20070261868, |
|
|
|
20070269983, |
|
|
|
20070292974, |
|
|
|
20080026162, |
|
|
|
20080044938, |
|
|
|
20080063798, |
|
|
|
20080067146, |
|
|
|
20080102205, |
|
|
|
20080102208, |
|
|
|
20080124945, |
|
|
|
20080128726, |
|
|
|
20080153308, |
|
|
|
20080176335, |
|
|
|
20080176412, |
|
|
|
20080182411, |
|
|
|
20080193643, |
|
|
|
20080194105, |
|
|
|
20080210278, |
|
|
|
20080223130, |
|
|
|
20080241052, |
|
|
|
20080268171, |
|
|
|
20080272424, |
|
|
|
20080274369, |
|
|
|
20080277647, |
|
|
|
20090047433, |
|
|
|
20090053900, |
|
|
|
20090056112, |
|
|
|
20090087585, |
|
|
|
20090104594, |
|
|
|
20090116936, |
|
|
|
20090117717, |
|
|
|
20090124131, |
|
|
|
20090137055, |
|
|
|
20090159002, |
|
|
|
20090165721, |
|
|
|
20090165722, |
|
|
|
20090166616, |
|
|
|
20090186571, |
|
|
|
20090197411, |
|
|
|
20090223441, |
|
|
|
20090250004, |
|
|
|
20090267225, |
|
|
|
20090275210, |
|
|
|
20090280248, |
|
|
|
20090298257, |
|
|
|
20090324989, |
|
|
|
20100025766, |
|
|
|
20100034719, |
|
|
|
20100051584, |
|
|
|
20100055312, |
|
|
|
20100055316, |
|
|
|
20100055898, |
|
|
|
20100075037, |
|
|
|
20100086703, |
|
|
|
20100111648, |
|
|
|
20100119439, |
|
|
|
20100129548, |
|
|
|
20100140684, |
|
|
|
20100159707, |
|
|
|
20100166630, |
|
|
|
20100209598, |
|
|
|
20100279008, |
|
|
|
20110021033, |
|
|
|
20110031562, |
|
|
|
20110042200, |
|
|
|
20110065289, |
|
|
|
20110070740, |
|
|
|
20110089166, |
|
|
|
20110092077, |
|
|
|
20110117492, |
|
|
|
20110143461, |
|
|
|
20110159200, |
|
|
|
20110204025, |
|
|
|
20110217838, |
|
|
|
20110223334, |
|
|
|
20110264250, |
|
|
|
20120024223, |
|
|
|
20120028469, |
|
|
|
20120088031, |
|
|
|
20120108048, |
|
|
|
20120126300, |
|
|
|
20120149207, |
|
|
|
20120164846, |
|
|
|
20120180719, |
|
|
|
20120258257, |
|
|
|
20120295449, |
|
|
|
20120309181, |
|
|
|
20120318773, |
|
|
|
20130005147, |
|
|
|
20130019944, |
|
|
|
20130019945, |
|
|
|
20130042811, |
|
|
|
20130059078, |
|
|
|
20130078376, |
|
|
|
20130093048, |
|
|
|
20130122722, |
|
|
|
20130168353, |
|
|
|
20130196502, |
|
|
|
20130203267, |
|
|
|
20130228225, |
|
|
|
20130234203, |
|
|
|
20130280891, |
|
|
|
20130299944, |
|
|
|
20130302520, |
|
|
|
20130309876, |
|
|
|
20130312663, |
|
|
|
20130323859, |
|
|
|
20140017414, |
|
|
|
20140017908, |
|
|
|
20140034632, |
|
|
|
20140120678, |
|
|
|
20140141165, |
|
|
|
20140162401, |
|
|
|
20140209976, |
|
|
|
20140227444, |
|
|
|
20140231922, |
|
|
|
20140234992, |
|
|
|
20140262193, |
|
|
|
20140322862, |
|
|
|
20150031218, |
|
|
|
20150056821, |
|
|
|
20150091134, |
|
|
|
20150147875, |
|
|
|
20150167162, |
|
|
|
20150167165, |
|
|
|
20150167705, |
|
|
|
20150170975, |
|
|
|
20150179640, |
|
|
|
20150203961, |
|
|
|
20150240357, |
|
|
|
20150262828, |
|
|
|
20150287591, |
|
|
|
20150287612, |
|
|
|
20150311151, |
|
|
|
20150322569, |
|
|
|
20150345018, |
|
|
|
20150372056, |
|
|
|
20160002776, |
|
|
|
20160002786, |
|
|
|
20160024655, |
|
|
|
20160042954, |
|
|
|
20160071750, |
|
|
|
20160085003, |
|
|
|
20160148800, |
|
|
|
20160148806, |
|
|
|
20160163558, |
|
|
|
20160172189, |
|
|
|
20160196970, |
|
|
|
20160222504, |
|
|
|
20160273106, |
|
|
|
20160276212, |
|
|
|
20160281223, |
|
|
|
20160365414, |
|
|
|
20160372321, |
|
|
|
20160379826, |
|
|
|
20170009367, |
|
|
|
20170018570, |
|
|
|
20170044664, |
|
|
|
20170051405, |
|
|
|
20170051406, |
|
|
|
20170104061, |
|
|
|
20170110601, |
|
|
|
20170140925, |
|
|
|
20170145564, |
|
|
|
20170148918, |
|
|
|
20170200622, |
|
|
|
20170226636, |
|
|
|
20170278705, |
|
|
|
20170338111, |
|
|
|
20170338133, |
|
|
|
20170338134, |
|
|
|
20170358482, |
|
|
|
20170373188, |
|
|
|
20180005814, |
|
|
|
20180019165, |
|
|
|
20180053660, |
|
|
|
20180068862, |
|
|
|
20180090583, |
|
|
|
20180094351, |
|
|
|
20180127876, |
|
|
|
20180151588, |
|
|
|
20180158716, |
|
|
|
20180163305, |
|
|
|
20180174801, |
|
|
|
20180180509, |
|
|
|
20180189923, |
|
|
|
20180211834, |
|
|
|
20180258532, |
|
|
|
20180269057, |
|
|
|
20180286638, |
|
|
|
20180286672, |
|
|
|
20180294187, |
|
|
|
20180308701, |
|
|
|
20180323055, |
|
|
|
20180323056, |
|
|
|
20180323059, |
|
|
|
20180325414, |
|
|
|
20180350587, |
|
|
|
20180350588, |
|
|
|
20180350620, |
|
|
|
20180350653, |
|
|
|
20180355480, |
|
|
|
20180363131, |
|
|
|
20180363139, |
|
|
|
20180366314, |
|
|
|
20190003050, |
|
|
|
20190003052, |
|
|
|
20190013199, |
|
|
|
20190019670, |
|
|
|
20190027573, |
|
|
|
20190027583, |
|
|
|
20190027584, |
|
|
|
20190027605, |
|
|
|
20190032209, |
|
|
|
20190032998, |
|
|
|
20190035605, |
|
|
|
20190035647, |
|
|
|
20190035810, |
|
|
|
20190040529, |
|
|
|
20190051544, |
|
|
|
20190051548, |
|
|
|
20190051555, |
|
|
|
20190057857, |
|
|
|
20190057858, |
|
|
|
20190062907, |
|
|
|
20190066978, |
|
|
|
20190066997, |
|
|
|
20190067003, |
|
|
|
20190067004, |
|
|
|
20190067014, |
|
|
|
20190067016, |
|
|
|
20190067094, |
|
|
|
20190067095, |
|
|
|
20190080903, |
|
|
|
20190081072, |
|
|
|
20190086807, |
|
|
|
20190088555, |
|
|
|
20190093221, |
|
|
|
20190096708, |
|
|
|
20190106788, |
|
|
|
20190109002, |
|
|
|
20190109009, |
|
|
|
20190115206, |
|
|
|
20190115237, |
|
|
|
20190131124, |
|
|
|
20190140067, |
|
|
|
20190148224, |
|
|
|
20190148398, |
|
|
|
20190153593, |
|
|
|
20190157054, |
|
|
|
20190163056, |
|
|
|
20190164763, |
|
|
|
20190244803, |
|
|
|
CN102373440, |
|
|
|
142841, |
|
|
|
D363464, |
Aug 27 1992 |
Tokyo Electron Limited |
Electrode for a semiconductor processing apparatus |
D386076, |
May 14 1996 |
Camco Manufacturing, Inc.; Camco Manufacturing, Inc |
Awning clamp |
D404370, |
Aug 20 1997 |
Tokyo Electron Limited |
Cap for use in a semiconductor wafer heat processing apparatus |
D404372, |
Aug 20 1997 |
Tokyo Electron Limited |
Ring for use in a semiconductor wafer heat processing apparatus |
D411516, |
Mar 15 1996 |
Tokyo Electron Limited |
Gas diffusion plate for electrode of semiconductor wafer processing apparatus |
D494552, |
Dec 12 2002 |
Tokyo Electron Limited |
Exhaust ring for manufacturing semiconductors |
D496008, |
Dec 12 2002 |
Tokyo Electron Limited |
Exhaust ring for manufacturing semiconductors |
D556704, |
Aug 25 2005 |
HITACHI HIGH-TECH CORPORATION |
Grounded electrode for a plasma processing apparatus |
D557226, |
Aug 25 2005 |
HITACHI HIGH-TECH CORPORATION |
Electrode cover for a plasma processing apparatus |
D558021, |
Jan 30 2003 |
|
Metal fabrication clamp |
D559993, |
Mar 30 2005 |
Tokyo Electron Limited |
Cover ring |
D559994, |
Mar 30 2005 |
Tokyo Electron Limited |
Cover ring |
D571383, |
Jul 29 2005 |
Tokyo Electron Limited |
Top panel for microwave introduction window of a plasma processing apparatus |
D571831, |
Jul 29 2005 |
Tokyo Electron Limited |
Top panel for microwave introduction window of a plasma processing apparatus |
D583395, |
Dec 15 2006 |
Tokyo Electron Limited |
Cover for a heater stage of a plasma processing apparatus |
D593585, |
Jul 29 2005 |
Tokyo Electron Limited |
Top panel for microwave introduction window of a plasma processing apparatus |
D609652, |
Jul 22 2008 |
Tokyo Electron Limited |
Wafer attracting plate |
D614593, |
Jul 21 2008 |
ASM KOREA LTD |
Substrate support for a semiconductor deposition apparatus |
D616394, |
Mar 06 2009 |
Tokyo Electron Limited |
Support of wafer boat for manufacturing semiconductor wafers |
D625977, |
Feb 25 2010 |
Tower IPCO Company Limited |
Spacer tool |
D649986, |
Aug 17 2010 |
Ebara Corporation |
Sealing ring |
D654882, |
Oct 21 2010 |
Tokyo Electron Limited |
Gas-separating plate for reactor for manufacturing semiconductor |
D655260, |
Oct 21 2010 |
Tokyo Electron Limited |
Gas-separating plate for reactor for manufacturing semiconductor |
D655261, |
Oct 21 2010 |
Tokyo Electron Limited |
Gas-separating plate for reactor for manufacturing semiconductor |
D694790, |
Sep 20 2011 |
Tokyo Electron Limited |
Baffle plate for manufacturing semiconductor |
D697038, |
Sep 20 2011 |
Tokyo Electron Limited |
Baffle plate |
D709536, |
Sep 30 2011 |
Tokyo Electron Limited |
Focusing ring |
D709537, |
Sep 30 2011 |
Tokyo Electron Limited |
Focusing ring |
D716742, |
Sep 13 2013 |
ASM IP Holding B.V. |
Substrate supporter for semiconductor deposition apparatus |
D724553, |
Sep 13 2013 |
ASM IP Holding B.V. |
Substrate supporter for semiconductor deposition apparatus |
D733257, |
Feb 14 2014 |
Hansgrohe SE |
Overhead shower |
D733262, |
May 22 2014 |
|
Disposer of connection member for kitchen sink bowl |
D736348, |
Jul 07 2014 |
JIANGMEN TRIUMPH RAIN SHOWERS CO., LTD |
Spray head for a shower |
D745641, |
Jun 20 2011 |
Neoperl GmbH |
Stream straightener for faucet |
D751176, |
Aug 07 2014 |
Hansgrohe SE |
Overhead shower |
D793976, |
May 15 2013 |
Ebara Corporation |
Substrate retaining ring |
D795208, |
Aug 18 2015 |
Tokyo Electron Limited |
Electrostatic chuck for semiconductor manufacturing equipment |
D810705, |
Apr 01 2016 |
VEECO INSTRUMENTS, INC |
Self-centering wafer carrier for chemical vapor deposition |
D819580, |
Apr 01 2016 |
VEECO INSTRUMENTS, INC |
Self-centering wafer carrier for chemical vapor deposition |
D827592, |
Jan 31 2017 |
HITACHI HIGH-TECH CORPORATION |
Electrode cover for a plasma processing apparatus |
D840364, |
Jan 31 2017 |
HITACHI HIGH-TECH CORPORATION |
Electrode cover for a plasma processing apparatus |
DE3836696, |
|
|
|
JP2001015698, |
|
|
|
JP2001287180, |
|
|
|
JP2002237375, |
|
|
|
JP2003053688, |
|
|
|
JP2004163293, |
|
|
|
JP2006049352, |
|
|
|
JP2008085129, |
|
|
|
JP2008089320, |
|
|
|
JP2008172083, |
|
|
|
JP2010123843, |
|
|
|
JP2011181681, |
|
|
|
JP2012164736, |
|
|
|
JP2016098406, |
|
|
|
JP2017183242, |
|
|
|
JP429313, |
|
|
|
JP6319177, |
|
|
|
JP7225214, |
|
|
|
JP9064149, |
|
|
|
KR100253664, |
|
|
|
KR20100079920, |
|
|
|
RE43023, |
Apr 17 2000 |
KOKUSAI ELECTRIC CORPORATION |
Dual loading port semiconductor processing equipment |
SU1408319, |
|
|
|
SU494614, |
|
|
|
TW540093, |
|
|
|
WO2004008491, |
|
|
|
WO2005112082, |
|
|
|
WO2006035281, |
|
|
|
WO2007131051, |
|
|
|
WO2008045972, |
|
|
|
WO2015112728, |
|
|
|
WO2018013778, |
|
|
|
WO48579, |
|
|
|