Patent
   D694790
Priority
Sep 20 2011
Filed
Mar 19 2012
Issued
Dec 03 2013
Expiry
Dec 03 2027
Assg.orig
Entity
unknown
330
37
n/a
The ornamental design for a baffle plate for manufacturing semiconductor, as shown and described.

FIG. 1 is a front view of a baffle plate of the present invention.

FIG. 2 is a rear view of the baffle plate of FIG. 1.

FIG. 3 is a top plan view of the baffle plate of FIG. 1.

FIG. 4 is a bottom view of the baffle plate of FIG. 1.

FIG. 5 is a right side view of the baffle plate of FIG. 1.

FIG. 6 is a left view of the baffle plate of FIG. 1.

FIG. 7 is a first perspective view of the baffle plate of FIG. 1.

FIG. 8 is a second perspective view of the baffle plate of FIG. 1; and,

FIG. 9 is a view of the baffle plate of FIG. 1 in use, wherein, for example, in a plasma processing device, gas entering a chamber is ionized, and a wafer is treated by an etching process with ions, and gas is exhausted from the chamber.

The features shown in broken lines depict environmental subject matter only and form no part of the claimed design.

Matsumoto, Naoki, Yoshikawa, Jun

Patent Priority Assignee Title
10559458, Nov 26 2018 ASM IP Holding B.V. Method of forming oxynitride film
10561975, Oct 07 2014 ASM IP Holdings B.V. Variable conductance gas distribution apparatus and method
10590535, Jul 26 2017 ASM IP HOLDING B V Chemical treatment, deposition and/or infiltration apparatus and method for using the same
10600673, Jul 07 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Magnetic susceptor to baseplate seal
10604847, Mar 18 2014 ASM IP Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
10612136, Jun 29 2018 ASM IP HOLDING B V ; ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
10622375, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10643826, Oct 26 2016 ASM IP HOLDING B V Methods for thermally calibrating reaction chambers
10643904, Nov 01 2016 ASM IP HOLDING B V Methods for forming a semiconductor device and related semiconductor device structures
10644025, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10655221, Feb 09 2017 ASM IP Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
10658181, Feb 20 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of spacer-defined direct patterning in semiconductor fabrication
10658205, Sep 28 2017 ASM IP HOLDING B V Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
10665452, May 02 2016 ASM IP Holdings B.V. Source/drain performance through conformal solid state doping
10672636, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10683571, Feb 25 2014 ASM IP Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
10685834, Jul 05 2017 ASM IP Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
10692741, Aug 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Radiation shield
10707106, Jun 06 2011 ASM IP Holding B.V.; ASM IP HOLDING B V High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
10714315, Oct 12 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Semiconductor reaction chamber showerhead
10714335, Apr 25 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of depositing thin film and method of manufacturing semiconductor device
10714350, Nov 01 2016 ASM IP Holdings, B.V.; ASM IP HOLDING B V Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10714385, Jul 19 2016 ASM IP Holding B.V. Selective deposition of tungsten
10720322, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top surface
10720331, Nov 01 2016 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10731249, Feb 15 2018 ASM IP HOLDING B V Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
10734223, Oct 10 2017 ASM IP Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10734244, Nov 16 2017 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by the same
10734497, Jul 18 2017 ASM IP HOLDING B V Methods for forming a semiconductor device structure and related semiconductor device structures
10741385, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10755922, Jul 03 2018 ASM IP HOLDING B V Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10755923, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10767789, Jul 16 2018 ASM IP Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
10770286, May 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
10770336, Aug 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate lift mechanism and reactor including same
10784102, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
10787741, Aug 21 2014 ASM IP Holding B.V. Method and system for in situ formation of gas-phase compounds
10797133, Jun 21 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
10804098, Aug 14 2009 ASM IP HOLDING B V Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
10811256, Oct 16 2018 ASM IP Holding B.V. Method for etching a carbon-containing feature
10818758, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
10829852, Aug 16 2018 ASM IP Holding B.V. Gas distribution device for a wafer processing apparatus
10832903, Oct 28 2011 ASM IP Holding B.V. Process feed management for semiconductor substrate processing
10844484, Sep 22 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
10844486, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10847365, Oct 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming conformal silicon carbide film by cyclic CVD
10847366, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
10847371, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
10851456, Apr 21 2016 ASM IP Holding B.V. Deposition of metal borides
10854498, Jul 15 2011 ASM IP Holding B.V.; ASM JAPAN K K Wafer-supporting device and method for producing same
10858737, Jul 28 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Showerhead assembly and components thereof
10865475, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides and silicides
10867786, Mar 30 2018 ASM IP Holding B.V. Substrate processing method
10867788, Dec 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10872771, Jan 16 2018 ASM IP Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
10883175, Aug 09 2018 ASM IP HOLDING B V Vertical furnace for processing substrates and a liner for use therein
10892156, May 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
10896820, Feb 14 2018 ASM IP HOLDING B V Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
10910262, Nov 16 2017 ASM IP HOLDING B V Method of selectively depositing a capping layer structure on a semiconductor device structure
10914004, Jun 29 2018 ASM IP Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
10923344, Oct 30 2017 ASM IP HOLDING B V Methods for forming a semiconductor structure and related semiconductor structures
10928731, Sep 21 2017 ASM IP Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
10934619, Nov 15 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Gas supply unit and substrate processing apparatus including the gas supply unit
10941490, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
10943771, Oct 26 2016 ASM IP Holding B.V. Methods for thermally calibrating reaction chambers
10950432, Apr 25 2017 ASM IP Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
10975470, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11018047, Jan 25 2018 ASM IP Holding B.V. Hybrid lift pin
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11024523, Sep 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11056567, May 11 2018 ASM IP Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11390950, Jan 10 2017 ASM IP HOLDING B V Reactor system and method to reduce residue buildup during a film deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581166, Jul 31 2020 Applied Materials, Inc Low profile deposition ring for enhanced life
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
D709536, Sep 30 2011 Tokyo Electron Limited Focusing ring
D709537, Sep 30 2011 Tokyo Electron Limited Focusing ring
D709538, Sep 30 2011 Tokyo Electron Limited Focusing ring
D709539, Sep 30 2011 Tokyo Electron Limited Focusing ring
D711331, Nov 07 2013 Applied Materials, Inc Upper chamber liner
D735786, Jul 11 2013 Sievert AB Blowtorch
D787458, Nov 18 2015 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D826300, Sep 30 2016 Rotably mounted thermal plasma burner for thermalspraying
D836573, Jan 31 2017 HITACHI HIGH-TECH CORPORATION Ring for a plasma processing apparatus
D868124, Dec 11 2017 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D873782, May 17 2016 Electro Scientific Industries, Inc Component carrier plate
D876504, Apr 03 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Exhaust flow control ring for semiconductor deposition apparatus
D880437, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D890443, Jun 27 2017 Feed bucket lid
D891636, Oct 25 2018 HITACHI HIGH-TECH CORPORATION Ring for a plasma processing apparatus
D894137, Oct 05 2017 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D900036, Aug 24 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Heater electrical connector and adapter
D902165, Mar 09 2018 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D903477, Jan 24 2018 ASM IP HOLDING B V Metal clamp
D908645, Aug 26 2019 Applied Materials, Inc Sputtering target for a physical vapor deposition chamber
D911985, Jun 08 2018 Tokyo Electron Limited Gas introduction plate for plasma etching apparatus for etching semiconductor wafer
D913980, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D933725, Feb 08 2019 Applied Materials, Inc Deposition ring for a substrate processing chamber
D933726, Jul 31 2020 Applied Materials, Inc Deposition ring for a semiconductor processing chamber
D934315, Mar 20 2020 Applied Materials, Inc Deposition ring for a substrate processing chamber
D935425, Oct 04 2018 TOYO TANSO CO , LTD Susceptor for use in production of a semiconductor
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D937330, May 21 2019 SUMITOMO ELECTRIC HARDMETAL CORP. Cutting tool
D940765, Dec 02 2020 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D943539, Mar 19 2020 Applied Materials, Inc Confinement plate for a substrate processing chamber
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D946638, Dec 11 2017 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D966357, Dec 02 2020 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D970566, Mar 23 2020 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
D986190, Mar 19 2020 Applied Materials, Inc. Confinement plate for a substrate processing chamber
ER3967,
ER4489,
ER6015,
ER6328,
ER6877,
ER8750,
Patent Priority Assignee Title
6444040, May 05 2000 PATENT COUNSEL, M S 2061 Gas distribution plate
6782843, Apr 26 2000 Lam Research Corporation Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
7479304, Feb 14 2002 Applied Materials, Inc Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
7658800, Oct 18 2006 ADVANCED MICRO-FABRICATION EQUIPMENT, INC CHINA Gas distribution assembly for use in a semiconductor work piece processing reactor
8080409, Jul 05 2005 DIASORIN ITALIA S P A Sample processing device compression systems and methods
20040025788,
20040056017,
20050150452,
20050224179,
20070010007,
20090087615,
20090096349,
20090263280,
20120000422,
20130125818,
D404372, Aug 20 1997 Tokyo Electron Limited Ring for use in a semiconductor wafer heat processing apparatus
D411516, Mar 15 1996 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
D490450, May 20 2002 Tokyo Electron Limited Exhaust ring for semiconductor equipment
D494551, Dec 12 2002 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
D494552, Dec 12 2002 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
D496008, Dec 12 2002 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
D552565, Sep 08 2005 TOKYO OHKA KOGYO CO , LTD Supporting plate
D557266, May 02 2006 SANFORD, L P Monitor and printer stand
D559993, Mar 30 2005 Tokyo Electron Limited Cover ring
D560284, Mar 30 2005 Tokyo Electron Limited Cover ring
D582949, Dec 15 2006 Tokyo Electron Limited Cover for a heater stage of a plasma processing apparatus
D633452, Aug 27 2009 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
D638951, Nov 13 2009 DIASORIN ITALIA S P A Sample processing disk cover
D639757, Aug 16 2010 CELADON SYSTEMS, INC Top contact layout board in an electrical system
D654883, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D654884, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D655257, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D655259, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D658691, Mar 30 2011 Tokyo Electron Limited Liner for plasma processing apparatus
D658693, Mar 30 2011 Tokyo Electron Limited Liner for plasma processing apparatus
D664249, Jul 01 2011 Applied Materials, Inc Flow blocker plate
D667561, Nov 13 2009 DIASORIN ITALIA S P A Sample processing disk cover
///
Executed onAssignorAssigneeConveyanceFrameReelDoc
Mar 19 2012Tokyo Electron Limited(assignment on the face of the patent)
Apr 02 2012MATSUMOTO, NAOKITokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0280650332 pdf
Apr 02 2012YOSHIKAWA, JUNTokyo Electron LimitedASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0280650332 pdf
n/a
Date Maintenance Fee Events


n/a
Date Maintenance Schedule