Patent
   D614593
Priority
Jul 21 2008
Filed
Jan 16 2009
Issued
Apr 27 2010
Expiry
Apr 27 2024
Assg.orig
Entity
unknown
501
12
n/a
The ornamental design for a substrate support for a semiconductor deposition apparatus, as shown and described.

FIG. 1 is a perspective view of a substrate support that may be positioned in a reaction space of a chamber in a semiconductor deposition apparatus, showing our new design, wherein the four small circles on the top surface of the support represent through-holes;

FIG. 2 is a front view thereof;

FIG. 3 is a rear view thereof;

FIG. 4 is a left-side view thereof;

FIG. 5 is a right-side view thereof;

FIG. 6 is a top plan view thereof;

FIG. 7 is a bottom plan view thereof;

FIG. 8 is a perspective view of the substrate support cut along a line passing through the center of the support with a partial enlarged view showing a transition from a center recess on the top surface of the support and an outer shoulder at the outer portion of the support; and,

FIG. 9 is a cross-sectional view thereof, along a line passing through the center of the support.

The ornamental design which is claimed is shown in solid lines in the drawings.

Lee, Jeong Ho, Jeong, Sang Jin, Jung, Dong Rak

Patent Priority Assignee Title
10014170, May 14 2015 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
10023960, Sep 12 2012 ASM IP Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
10032628, May 02 2016 ASM IP HOLDING B V Source/drain performance through conformal solid state doping
10043661, Jul 13 2015 ASM IP Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
10053792, Sep 12 2011 Novellus Systems, Inc. Plating cup with contoured cup bottom
10083836, Jul 24 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Formation of boron-doped titanium metal films with high work function
10087522, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides
10090316, Sep 01 2016 ASM IP Holding B.V.; ASM IP HOLDING B V 3D stacked multilayer semiconductor memory using doped select transistor channel
10094034, Aug 28 2015 Lam Research Corporation Edge flow element for electroplating apparatus
10103040, Mar 31 2017 ASM IP HOLDING B V Apparatus and method for manufacturing a semiconductor device
10134757, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10177025, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10179947, Nov 26 2013 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
10190213, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides
10190230, Jul 02 2010 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
10211308, Oct 21 2015 ASM IP Holding B.V. NbMC layers
10229833, Nov 01 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10233556, Jul 02 2010 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
10236177, Aug 22 2017 ASM IP HOLDING B V Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
10249524, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10249577, May 17 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
10262859, Mar 24 2016 ASM IP Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
10269558, Dec 22 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10276355, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
10283353, Mar 29 2017 ASM IP HOLDING B V Method of reforming insulating film deposited on substrate with recess pattern
10290508, Dec 05 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming vertical spacers for spacer-defined patterning
10301739, May 01 2013 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
10312055, Jul 26 2017 ASM IP Holding B.V. Method of depositing film by PEALD using negative bias
10312129, Sep 29 2015 ASM IP Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
10319588, Oct 10 2017 ASM IP HOLDING B V Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10322384, Nov 09 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Counter flow mixer for process chamber
10340125, Mar 08 2013 ASM IP Holding B.V. Pulsed remote plasma method and system
10340135, Nov 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
10343920, Mar 18 2016 ASM IP HOLDING B V Aligned carbon nanotubes
10361201, Sep 27 2013 ASM IP Holding B.V. Semiconductor structure and device formed using selective epitaxial process
10364496, Jun 27 2011 ASM IP Holding B.V. Dual section module having shared and unshared mass flow controllers
10364505, May 24 2016 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
10366864, Mar 18 2013 ASM IP Holding B.V. Method and system for in-situ formation of intermediate reactive species
10367080, May 02 2016 ASM IP HOLDING B V Method of forming a germanium oxynitride film
10378106, Nov 14 2008 ASM IP Holding B.V. Method of forming insulation film by modified PEALD
10381219, Oct 25 2018 ASM IP Holding B.V. Methods for forming a silicon nitride film
10381226, Jul 27 2016 ASM IP Holding B.V. Method of processing substrate
10388509, Jun 28 2016 ASM IP Holding B.V. Formation of epitaxial layers via dislocation filtering
10388513, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10395919, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10403504, Oct 05 2017 ASM IP HOLDING B V Method for selectively depositing a metallic film on a substrate
10410943, Oct 13 2016 ASM IP Holding B.V. Method for passivating a surface of a semiconductor and related systems
10435790, Nov 01 2016 ASM IP Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
10438965, Dec 22 2014 ASM IP Holding B.V. Semiconductor device and manufacturing method thereof
10446393, May 08 2017 ASM IP Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
10458018, Jun 26 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Structures including metal carbide material, devices including the structures, and methods of forming same
10468251, Feb 19 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
10468261, Feb 15 2017 ASM IP HOLDING B V Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
10468262, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
10480072, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10483099, Jul 26 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming thermally stable organosilicon polymer film
10501866, Mar 09 2016 ASM IP Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
10504742, May 31 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of atomic layer etching using hydrogen plasma
10510536, Mar 29 2018 ASM IP Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
10529542, Mar 11 2015 ASM IP Holdings B.V. Cross-flow reactor and method
10529554, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
10529563, Mar 29 2017 ASM IP Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
10535516, Feb 01 2018 ASM IP Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
10541173, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
10541333, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
10559458, Nov 26 2018 ASM IP Holding B.V. Method of forming oxynitride film
10561975, Oct 07 2014 ASM IP Holdings B.V. Variable conductance gas distribution apparatus and method
10566223, Aug 28 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Systems and methods for dynamic semiconductor process scheduling
10590535, Jul 26 2017 ASM IP HOLDING B V Chemical treatment, deposition and/or infiltration apparatus and method for using the same
10600673, Jul 07 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Magnetic susceptor to baseplate seal
10604847, Mar 18 2014 ASM IP Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
10605530, Jul 26 2017 ASM IP Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
10607895, Sep 18 2017 ASM IP HOLDING B V Method for forming a semiconductor device structure comprising a gate fill metal
10612136, Jun 29 2018 ASM IP HOLDING B V ; ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
10612137, Jul 08 2016 ASM IP HOLDING B V Organic reactants for atomic layer deposition
10622375, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10643826, Oct 26 2016 ASM IP HOLDING B V Methods for thermally calibrating reaction chambers
10643904, Nov 01 2016 ASM IP HOLDING B V Methods for forming a semiconductor device and related semiconductor device structures
10644025, Nov 07 2016 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by using the method
10655221, Feb 09 2017 ASM IP Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
10658181, Feb 20 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of spacer-defined direct patterning in semiconductor fabrication
10658205, Sep 28 2017 ASM IP HOLDING B V Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
10662545, Dec 12 2012 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
10665452, May 02 2016 ASM IP Holdings B.V. Source/drain performance through conformal solid state doping
10672636, Aug 09 2017 ASM IP Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
10683571, Feb 25 2014 ASM IP Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
10685834, Jul 05 2017 ASM IP Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
10692741, Aug 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Radiation shield
10707106, Jun 06 2011 ASM IP Holding B.V.; ASM IP HOLDING B V High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
10714315, Oct 12 2012 ASM IP Holdings B.V.; ASM IP HOLDING B V Semiconductor reaction chamber showerhead
10714335, Apr 25 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Method of depositing thin film and method of manufacturing semiconductor device
10714350, Nov 01 2016 ASM IP Holdings, B.V.; ASM IP HOLDING B V Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10714385, Jul 19 2016 ASM IP Holding B.V. Selective deposition of tungsten
10720322, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top surface
10720331, Nov 01 2016 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
10731249, Feb 15 2018 ASM IP HOLDING B V Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
10734223, Oct 10 2017 ASM IP Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
10734244, Nov 16 2017 ASM IP Holding B.V. Method of processing a substrate and a device manufactured by the same
10734497, Jul 18 2017 ASM IP HOLDING B V Methods for forming a semiconductor device structure and related semiconductor device structures
10741385, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
10755922, Jul 03 2018 ASM IP HOLDING B V Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10755923, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
10767789, Jul 16 2018 ASM IP Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
10770286, May 08 2017 ASM IP Holdings B.V.; ASM IP HOLDING B V Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
10770336, Aug 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate lift mechanism and reactor including same
10781527, Sep 18 2017 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
10784102, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
10787741, Aug 21 2014 ASM IP Holding B.V. Method and system for in situ formation of gas-phase compounds
10797133, Jun 21 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
10804098, Aug 14 2009 ASM IP HOLDING B V Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
10811256, Oct 16 2018 ASM IP Holding B.V. Method for etching a carbon-containing feature
10818758, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
10829852, Aug 16 2018 ASM IP Holding B.V. Gas distribution device for a wafer processing apparatus
10832903, Oct 28 2011 ASM IP Holding B.V. Process feed management for semiconductor substrate processing
10844484, Sep 22 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
10844486, Apr 06 2009 ASM IP HOLDING B V Semiconductor processing reactor and components thereof
10847365, Oct 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming conformal silicon carbide film by cyclic CVD
10847366, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
10847371, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
10851456, Apr 21 2016 ASM IP Holding B.V. Deposition of metal borides
10854498, Jul 15 2011 ASM IP Holding B.V.; ASM JAPAN K K Wafer-supporting device and method for producing same
10858737, Jul 28 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Showerhead assembly and components thereof
10865475, Apr 21 2016 ASM IP HOLDING B V Deposition of metal borides and silicides
10867786, Mar 30 2018 ASM IP Holding B.V. Substrate processing method
10867788, Dec 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method of forming a structure on a substrate
10872771, Jan 16 2018 ASM IP Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
10883175, Aug 09 2018 ASM IP HOLDING B V Vertical furnace for processing substrates and a liner for use therein
10886123, Jun 02 2017 ASM IP Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
10892156, May 08 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
10896820, Feb 14 2018 ASM IP HOLDING B V Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
10910262, Nov 16 2017 ASM IP HOLDING B V Method of selectively depositing a capping layer structure on a semiconductor device structure
10914004, Jun 29 2018 ASM IP Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
10923340, May 14 2015 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
10923344, Oct 30 2017 ASM IP HOLDING B V Methods for forming a semiconductor structure and related semiconductor structures
10928731, Sep 21 2017 ASM IP Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
10934619, Nov 15 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Gas supply unit and substrate processing apparatus including the gas supply unit
10941490, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
10943771, Oct 26 2016 ASM IP Holding B.V. Methods for thermally calibrating reaction chambers
10950432, Apr 25 2017 ASM IP Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
10975470, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11001925, Dec 19 2016 ASM IP Holding B.V. Substrate processing apparatus
11001934, Aug 21 2017 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
11004977, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11015245, Mar 19 2014 ASM IP Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
11018002, Jul 19 2017 ASM IP Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
11018047, Jan 25 2018 ASM IP Holding B.V. Hybrid lift pin
11022879, Nov 24 2017 ASM IP Holding B.V. Method of forming an enhanced unexposed photoresist layer
11024523, Sep 11 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method
11031242, Nov 07 2018 ASM IP Holding B.V. Methods for depositing a boron doped silicon germanium film
11047059, May 24 2016 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
11049751, Sep 14 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
11053591, Aug 06 2018 ASM IP Holding B.V. Multi-port gas injection system and reactor system including same
11056344, Aug 30 2017 ASM IP HOLDING B V Layer forming method
11056567, May 11 2018 ASM IP Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
11069510, Aug 30 2017 ASM IP Holding B.V. Substrate processing apparatus
11081345, Feb 06 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Method of post-deposition treatment for silicon oxide film
11087997, Oct 31 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus for processing substrates
11088002, Mar 29 2018 ASM IP HOLDING B V Substrate rack and a substrate processing system and method
11094546, Oct 05 2017 ASM IP Holding B.V. Method for selectively depositing a metallic film on a substrate
11094582, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11101370, May 02 2016 ASM IP Holding B.V. Method of forming a germanium oxynitride film
11107676, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11114283, Mar 16 2018 ASM IP Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
11114294, Mar 08 2019 ASM IP Holding B.V. Structure including SiOC layer and method of forming same
11127589, Feb 01 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11127617, Nov 27 2017 ASM IP HOLDING B V Storage device for storing wafer cassettes for use with a batch furnace
11139191, Aug 09 2017 ASM IP HOLDING B V Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11139308, Dec 29 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Atomic layer deposition of III-V compounds to form V-NAND devices
11158513, Dec 13 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11164955, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11168395, Jun 29 2018 ASM IP Holding B.V. Temperature-controlled flange and reactor system including same
11171025, Jan 22 2019 ASM IP Holding B.V. Substrate processing device
11205585, Jul 28 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus and method of operating the same
11217444, Nov 30 2018 ASM IP HOLDING B V Method for forming an ultraviolet radiation responsive metal oxide-containing film
11222772, Dec 14 2016 ASM IP Holding B.V. Substrate processing apparatus
11227782, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11227789, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11230766, Mar 29 2018 ASM IP HOLDING B V Substrate processing apparatus and method
11232963, Oct 03 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11233133, Oct 21 2015 ASM IP Holding B.V. NbMC layers
11242598, Jun 26 2015 ASM IP Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
11244825, Nov 16 2018 ASM IP Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
11251035, Dec 22 2016 ASM IP Holding B.V. Method of forming a structure on a substrate
11251040, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method including treatment step and apparatus for same
11251068, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11270899, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11274369, Sep 11 2018 ASM IP Holding B.V. Thin film deposition method
11282698, Jul 19 2019 ASM IP Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
11286558, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11286562, Jun 08 2018 ASM IP Holding B.V. Gas-phase chemical reactor and method of using same
11289326, May 07 2019 ASM IP Holding B.V. Method for reforming amorphous carbon polymer film
11295980, Aug 30 2017 ASM IP HOLDING B V Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11296189, Jun 21 2018 ASM IP Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
11306395, Jun 28 2017 ASM IP HOLDING B V Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
11315794, Oct 21 2019 ASM IP Holding B.V. Apparatus and methods for selectively etching films
11339476, Oct 08 2019 ASM IP Holding B.V. Substrate processing device having connection plates, substrate processing method
11342216, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11345999, Jun 06 2019 ASM IP Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
11355338, May 10 2019 ASM IP Holding B.V. Method of depositing material onto a surface and structure formed according to the method
11361990, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11374112, Jul 19 2017 ASM IP Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
11378337, Mar 28 2019 ASM IP Holding B.V. Door opener and substrate processing apparatus provided therewith
11387106, Feb 14 2018 ASM IP Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11387120, Sep 28 2017 ASM IP Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
11390945, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11390946, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11390950, Jan 10 2017 ASM IP HOLDING B V Reactor system and method to reduce residue buildup during a film deposition process
11393690, Jan 19 2018 ASM IP HOLDING B V Deposition method
11396702, Nov 15 2016 ASM IP Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
11398382, Mar 27 2018 ASM IP Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
11401605, Nov 26 2019 ASM IP Holding B.V. Substrate processing apparatus
11410851, Feb 15 2017 ASM IP Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
11411088, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11414760, Oct 08 2018 ASM IP Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
11417545, Aug 08 2017 ASM IP Holding B.V. Radiation shield
11424119, Mar 08 2019 ASM IP HOLDING B V Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11430640, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11430674, Aug 22 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
11437241, Apr 08 2020 ASM IP Holding B.V. Apparatus and methods for selectively etching silicon oxide films
11443926, Jul 30 2019 ASM IP Holding B.V. Substrate processing apparatus
11447861, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11447864, Apr 19 2019 ASM IP Holding B.V. Layer forming method and apparatus
11453943, May 25 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
11453946, Jun 06 2019 ASM IP Holding B.V. Gas-phase reactor system including a gas detector
11469098, May 08 2018 ASM IP Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
11473195, Mar 01 2018 ASM IP Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
11476109, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11482412, Jan 19 2018 ASM IP HOLDING B V Method for depositing a gap-fill layer by plasma-assisted deposition
11482418, Feb 20 2018 ASM IP Holding B.V. Substrate processing method and apparatus
11482533, Feb 20 2019 ASM IP Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
11488819, Dec 04 2018 ASM IP Holding B.V. Method of cleaning substrate processing apparatus
11488854, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11492703, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11495459, Sep 04 2019 ASM IP Holding B.V. Methods for selective deposition using a sacrificial capping layer
11499222, Jun 27 2018 ASM IP HOLDING B V Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11499226, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11501956, Oct 12 2012 ASM IP Holding B.V. Semiconductor reaction chamber showerhead
11501968, Nov 15 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Method for providing a semiconductor device with silicon filled gaps
11501973, Jan 16 2018 ASM IP Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
11515187, May 01 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Fast FOUP swapping with a FOUP handler
11515188, May 16 2019 ASM IP Holding B.V. Wafer boat handling device, vertical batch furnace and method
11521851, Feb 03 2020 ASM IP HOLDING B V Method of forming structures including a vanadium or indium layer
11527400, Aug 23 2019 ASM IP Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
11527403, Dec 19 2019 ASM IP Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
11530483, Jun 21 2018 ASM IP Holding B.V. Substrate processing system
11530876, Apr 24 2020 ASM IP Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
11532757, Oct 27 2016 ASM IP Holding B.V. Deposition of charge trapping layers
11551912, Jan 20 2020 ASM IP Holding B.V. Method of forming thin film and method of modifying surface of thin film
11551925, Apr 01 2019 ASM IP Holding B.V. Method for manufacturing a semiconductor device
11551960, Jan 30 2020 Applied Materials, Inc Helical plug for reduction or prevention of arcing in a substrate support
11557474, Jul 29 2019 ASM IP Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
11562901, Sep 25 2019 ASM IP Holding B.V. Substrate processing method
11572620, Nov 06 2018 ASM IP Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
11581186, Dec 15 2016 ASM IP HOLDING B V Sequential infiltration synthesis apparatus
11581220, Aug 30 2017 ASM IP Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
11587814, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587815, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11587821, Aug 08 2017 ASM IP Holding B.V. Substrate lift mechanism and reactor including same
11594450, Aug 22 2019 ASM IP HOLDING B V Method for forming a structure with a hole
11594600, Nov 05 2019 ASM IP Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
11605528, Jul 09 2019 ASM IP Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
11610774, Oct 02 2019 ASM IP Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
11610775, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
11615970, Jul 17 2019 ASM IP HOLDING B V Radical assist ignition plasma system and method
11615980, Feb 20 2019 ASM IP Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
11626308, May 13 2020 ASM IP Holding B.V. Laser alignment fixture for a reactor system
11626316, Nov 20 2019 ASM IP Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
11629406, Mar 09 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
11629407, Feb 22 2019 ASM IP Holding B.V. Substrate processing apparatus and method for processing substrates
11637011, Oct 16 2019 ASM IP Holding B.V. Method of topology-selective film formation of silicon oxide
11637014, Oct 17 2019 ASM IP Holding B.V. Methods for selective deposition of doped semiconductor material
11639548, Aug 21 2019 ASM IP Holding B.V. Film-forming material mixed-gas forming device and film forming device
11639811, Nov 27 2017 ASM IP HOLDING B V Apparatus including a clean mini environment
11643724, Jul 18 2019 ASM IP Holding B.V. Method of forming structures using a neutral beam
11644758, Jul 17 2020 ASM IP Holding B.V. Structures and methods for use in photolithography
11646184, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus
11646197, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11646204, Jun 24 2020 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming a layer provided with silicon
11646205, Oct 29 2019 ASM IP Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
11649546, Jul 08 2016 ASM IP Holding B.V. Organic reactants for atomic layer deposition
11658029, Dec 14 2018 ASM IP HOLDING B V Method of forming a device structure using selective deposition of gallium nitride and system for same
11658030, Mar 29 2017 ASM IP Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
11658035, Jun 30 2020 ASM IP HOLDING B V Substrate processing method
11664199, Oct 19 2018 ASM IP Holding B.V. Substrate processing apparatus and substrate processing method
11664245, Jul 16 2019 ASM IP Holding B.V. Substrate processing device
11664267, Jul 10 2019 ASM IP Holding B.V. Substrate support assembly and substrate processing device including the same
11674220, Jul 20 2020 ASM IP Holding B.V. Method for depositing molybdenum layers using an underlayer
11676812, Feb 19 2016 ASM IP Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
11680839, Aug 05 2019 ASM IP Holding B.V. Liquid level sensor for a chemical source vessel
11682572, Nov 27 2017 ASM IP Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
11685991, Feb 14 2018 ASM IP HOLDING B V ; Universiteit Gent Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
11688603, Jul 17 2019 ASM IP Holding B.V. Methods of forming silicon germanium structures
11694892, Jul 28 2016 ASM IP Holding B.V. Method and apparatus for filling a gap
11695054, Jul 18 2017 ASM IP Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
11705333, May 21 2020 ASM IP Holding B.V. Structures including multiple carbon layers and methods of forming and using same
11718913, Jun 04 2018 ASM IP Holding B.V.; ASM IP HOLDING B V Gas distribution system and reactor system including same
11725277, Jul 20 2011 ASM IP HOLDING B V Pressure transmitter for a semiconductor processing environment
11725280, Aug 26 2020 ASM IP Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
11735414, Feb 06 2018 ASM IP Holding B.V. Method of post-deposition treatment for silicon oxide film
11735422, Oct 10 2019 ASM IP HOLDING B V Method of forming a photoresist underlayer and structure including same
11735445, Oct 31 2018 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
11742189, Mar 12 2015 ASM IP Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
11742198, Mar 08 2019 ASM IP Holding B.V. Structure including SiOCN layer and method of forming same
11746414, Jul 03 2019 ASM IP Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
11749562, Jul 08 2016 ASM IP Holding B.V. Selective deposition method to form air gaps
11767589, May 29 2020 ASM IP Holding B.V. Substrate processing device
11769670, Dec 13 2018 ASM IP Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
11769682, Aug 09 2017 ASM IP Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
11776846, Feb 07 2020 ASM IP Holding B.V. Methods for depositing gap filling fluids and related systems and devices
11781221, May 07 2019 ASM IP Holding B.V. Chemical source vessel with dip tube
11781243, Feb 17 2020 ASM IP Holding B.V. Method for depositing low temperature phosphorous-doped silicon
11795545, Oct 07 2014 ASM IP Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
11798830, May 01 2020 ASM IP Holding B.V. Fast FOUP swapping with a FOUP handler
11798834, Feb 20 2019 ASM IP Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
11798999, Nov 16 2018 ASM IP Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
11802338, Jul 26 2017 ASM IP Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
11804364, May 19 2020 ASM IP Holding B.V. Substrate processing apparatus
11804388, Sep 11 2018 ASM IP Holding B.V. Substrate processing apparatus and method
11810788, Nov 01 2016 ASM IP Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
11814715, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11814747, Apr 24 2019 ASM IP Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
11821078, Apr 15 2020 ASM IP HOLDING B V Method for forming precoat film and method for forming silicon-containing film
11823866, Apr 02 2020 ASM IP Holding B.V. Thin film forming method
11823876, Sep 05 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Substrate processing apparatus
11827978, Aug 23 2019 ASM IP Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
11827981, Oct 14 2020 ASM IP HOLDING B V Method of depositing material on stepped structure
11828707, Feb 04 2020 ASM IP Holding B.V. Method and apparatus for transmittance measurements of large articles
11830730, Aug 29 2017 ASM IP HOLDING B V Layer forming method and apparatus
11830738, Apr 03 2020 ASM IP Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
11837483, Jun 04 2018 ASM IP Holding B.V. Wafer handling chamber with moisture reduction
11837494, Mar 11 2020 ASM IP Holding B.V. Substrate handling device with adjustable joints
11840761, Dec 04 2019 ASM IP Holding B.V. Substrate processing apparatus
11848200, May 08 2017 ASM IP Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
11851755, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
11866823, Nov 02 2018 ASM IP Holding B.V. Substrate supporting unit and a substrate processing device including the same
11873557, Oct 22 2020 ASM IP HOLDING B V Method of depositing vanadium metal
11876008, Jul 31 2019 ASM IP Holding B.V. Vertical batch furnace assembly
11876356, Mar 11 2020 ASM IP Holding B.V. Lockout tagout assembly and system and method of using same
11885013, Dec 17 2019 ASM IP Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
11885020, Dec 22 2020 ASM IP Holding B.V. Transition metal deposition method
11885023, Oct 01 2018 ASM IP Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
11887857, Apr 24 2020 ASM IP Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
11891696, Nov 30 2020 ASM IP Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
11898242, Aug 23 2019 ASM IP Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
11898243, Apr 24 2020 ASM IP Holding B.V. Method of forming vanadium nitride-containing layer
11901175, Mar 08 2019 ASM IP Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
11901179, Oct 28 2020 ASM IP HOLDING B V Method and device for depositing silicon onto substrates
11908684, Jun 11 2019 ASM IP Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
11908733, May 28 2018 ASM IP Holding B.V. Substrate processing method and device manufactured by using the same
11915929, Nov 26 2019 ASM IP Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
11923181, Nov 29 2019 ASM IP Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
11923190, Jul 03 2018 ASM IP Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
11929251, Dec 02 2019 ASM IP Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
11939673, Feb 23 2018 ASM IP Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
11946137, Dec 16 2020 ASM IP HOLDING B V Runout and wobble measurement fixtures
11952658, Jun 27 2018 ASM IP Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
11956977, Dec 29 2015 ASM IP Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
11959168, Apr 29 2020 ASM IP HOLDING B V ; ASM IP Holding B.V. Solid source precursor vessel
11959171, Jan 17 2019 ASM IP Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
11961741, Mar 12 2020 ASM IP Holding B.V. Method for fabricating layer structure having target topological profile
11967488, Feb 01 2013 ASM IP Holding B.V. Method for treatment of deposition reactor
11970766, Dec 15 2016 ASM IP Holding B.V. Sequential infiltration synthesis apparatus
11972944, Jan 19 2018 ASM IP Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
8308931, Aug 16 2006 Novellus Systems, Inc Method and apparatus for electroplating
8475636, Nov 07 2008 Novellus Systems, Inc Method and apparatus for electroplating
8475644, Mar 27 2000 Novellus Systems, Inc. Method and apparatus for electroplating
8540857, Dec 19 2008 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
8623193, Jun 16 2004 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
8795480, Jul 02 2010 Novellus Systems, Inc Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
9309604, Nov 07 2008 Novellus Systems, Inc. Method and apparatus for electroplating
9394620, Jul 02 2010 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
9447498, Mar 18 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Method for performing uniform processing in gas system-sharing multiple reaction chambers
9449808, May 29 2013 Novellus Systems, Inc. Apparatus for advanced packaging applications
9455138, Nov 10 2015 ASM IP HOLDING B V Method for forming dielectric film in trenches by PEALD using H-containing gas
9464361, Jul 02 2010 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
9478415, Feb 13 2015 ASM IP Holding B.V. Method for forming film having low resistance and shallow junction depth
9512538, Sep 12 2011 Novellus Systems, Inc Plating cup with contoured cup bottom
9523155, Dec 12 2012 Novellus Systems, Inc Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
9543180, Aug 01 2014 ASM IP Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
9556516, Oct 09 2013 ASM IP Holding B.V; ASM IP HOLDING B V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
9607837, Dec 21 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming silicon oxide cap layer for solid state diffusion process
9624592, Jul 02 2010 Novellus Systems, Inc Cross flow manifold for electroplating apparatus
9627221, Dec 28 2015 ASM IP Holding B.V. Continuous process incorporating atomic layer etching
9640416, Dec 26 2012 ASM IP Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
9670588, May 01 2013 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
9711345, Aug 25 2015 ASM IP HOLDING B V Method for forming aluminum nitride-based film by PEALD
9735024, Dec 28 2015 ASM IP Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
9754779, Feb 19 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
9793115, Aug 14 2013 ASM IP Holding B.V. Structures and devices including germanium-tin films and methods of forming same
9793135, Jul 14 2016 ASM IP HOLDING B V Method of cyclic dry etching using etchant film
9793148, Jun 22 2011 ASM Japan K.K. Method for positioning wafers in multiple wafer transport
9812320, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
9816194, Mar 19 2015 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
9834852, Dec 12 2012 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
9859151, Jul 08 2016 ASM IP HOLDING B V Selective film deposition method to form air gaps
9887082, Jul 28 2016 ASM IP HOLDING B V Method and apparatus for filling a gap
9891521, Nov 19 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing thin film
9899230, May 29 2013 Novellus Systems, Inc. Apparatus for advanced packaging applications
9899291, Jul 13 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Method for protecting layer by forming hydrocarbon-based extremely thin film
9899405, Dec 22 2014 ASM IP Holding B.V.; ASM IP HOLDING B V Semiconductor device and manufacturing method thereof
9909214, Oct 15 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Method for depositing dielectric film in trenches by PEALD
9916980, Dec 15 2016 ASM IP HOLDING B V Method of forming a structure on a substrate
D648289, Oct 21 2010 Novellus Systems, Inc Electroplating flow shaping plate having offset spiral hole pattern
D650344, Oct 20 2008 Ebara Corporation Vacuum contact pad
D651991, Aug 17 2010 Sumitomo Electric Industries, Ltd. Semiconductor substrate
D651992, Aug 17 2010 Sumitomo Electric Industries, Ltd. Semiconductor substrate
D654883, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D654884, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D655256, Aug 17 2010 Sumitomo Electric Industries, Ltd. Semiconductor substrate
D655257, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D655259, Oct 21 2010 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
D658604, Jun 15 2010 Toshiba Lighting & Technology Corporation Light emitting diode module
D658605, Jun 15 2010 Toshiba Lighting & Technology Corporation Light emitting diode module
D665759, Dec 14 2010 Tokyo Electron Limited Substrate transfer holder
D666979, Dec 14 2010 Tokyo Electron Limited Substrate holder
D668211, Sep 10 2010 Novellus Systems, Inc. Segmented electroplating anode and anode segment
D671901, Apr 13 2011 Novellus Systems, Inc. Pedestal cover
D693782, Nov 19 2012 Applied Materials, Inc Lid for epitaxial growing device
D699199, Sep 30 2011 Tokyo Electron Limited Electrode plate for a plasma processing apparatus
D699200, Sep 30 2011 Tokyo Electron Limited Electrode member for a plasma processing apparatus
D699691, Mar 06 2013 Posco LED Company Ltd. Light emitting diode module for LED lighting
D703162, Oct 17 2012 Sumitomo Electric Industries, Ltd. Wafer holder for stepper
D709536, Sep 30 2011 Tokyo Electron Limited Focusing ring
D709537, Sep 30 2011 Tokyo Electron Limited Focusing ring
D709538, Sep 30 2011 Tokyo Electron Limited Focusing ring
D709539, Sep 30 2011 Tokyo Electron Limited Focusing ring
D716742, Sep 13 2013 ASM IP Holding B.V. Substrate supporter for semiconductor deposition apparatus
D724553, Sep 13 2013 ASM IP Holding B.V. Substrate supporter for semiconductor deposition apparatus
D734377, Mar 28 2013 HIRATA CORPORATION Top cover of a load lock chamber
D753269, Jan 09 2015 ASM IP Holding B.V.; ASM IP HOLDING B V Top plate
D766850, Mar 28 2014 Tokyo Electron Limited Wafer holder for manufacturing semiconductor
D789311, Dec 28 2015 KOKUSAI ELECTRIC CORPORATION Pattern wafer
D790041, Jan 08 2016 ASM IP Holding B.V. Gas dispersing plate for semiconductor manufacturing apparatus
D791091, Dec 28 2015 KOKUSAI ELECTRIC CORPORATION Pattern wafer
D793971, Mar 27 2015 Veeco Instruments INC Wafer carrier with a 14-pocket configuration
D793972, Mar 27 2015 Veeco Instruments INC Wafer carrier with a 31-pocket configuration
D793976, May 15 2013 Ebara Corporation Substrate retaining ring
D795208, Aug 18 2015 Tokyo Electron Limited Electrostatic chuck for semiconductor manufacturing equipment
D797067, Apr 21 2015 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D798248, Jun 18 2015 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D799646, Aug 30 2016 ASM IP Holding B.V.; ASM IP HOLDING B V Heater block
D801942, Apr 16 2015 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D802472, Aug 06 2015 Pall Corporation Electrostatic chuck for semiconductor manufacturing equipment
D802546, Jan 08 2016 ASM IP Holding B.V. Outer wall of reactor for semiconductor manufacturing apparatus
D803802, Aug 18 2015 Tokyo Electron Limited Electrostatic chuck for semiconductor manufacturing equipment
D806046, Apr 16 2015 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
D809804, Dec 17 2014 NGK Insulators, Ltd. Composite substrate for acoustic wave device
D825504, Apr 21 2015 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D825505, Jun 18 2015 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D830981, Apr 07 2017 ASM IP HOLDING B V ; ASM IP Holding B.V. Susceptor for semiconductor substrate processing apparatus
D836572, Sep 30 2016 Applied Materials, Inc.; Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D837755, Apr 16 2015 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D849422, Jun 09 2015 NGK Insulators, Ltd. Composite substrate for acoustic wave device
D851613, Oct 05 2017 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D852762, Mar 27 2015 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
D868124, Dec 11 2017 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D869409, Sep 30 2016 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D876504, Apr 03 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Exhaust flow control ring for semiconductor deposition apparatus
D877101, Mar 09 2018 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D880437, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D884855, Oct 30 2019 Applied Materials, Inc Heater pedestal
D893438, Aug 21 2017 Tokyo Electron Limited Wafer boat
D894137, Oct 05 2017 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D900036, Aug 24 2017 ASM IP Holding B.V.; ASM IP HOLDING B V Heater electrical connector and adapter
D902165, Mar 09 2018 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D903477, Jan 24 2018 ASM IP HOLDING B V Metal clamp
D908645, Aug 26 2019 Applied Materials, Inc Sputtering target for a physical vapor deposition chamber
D913980, Feb 01 2018 ASM IP Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
D922229, Jun 05 2019 ASM IP Holding B.V. Device for controlling a temperature of a gas supply unit
D926715, Jul 29 2019 EPICREW CORPORATION Support for a wafer for fabricating a semiconductor
D930782, Aug 22 2019 ASM IP Holding B.V. Gas distributor
D931978, Jun 27 2019 ASM IP Holding B.V. Showerhead vacuum transport
D935572, May 24 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Gas channel plate
D937329, Mar 23 2020 Applied Materials, Inc Sputter target for a physical vapor deposition chamber
D940765, Dec 02 2020 Applied Materials, Inc Target profile for a physical vapor deposition chamber target
D940837, Aug 22 2019 ASM IP Holding B.V. Electrode
D944946, Jun 14 2019 ASM IP Holding B.V. Shower plate
D946638, Dec 11 2017 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D947913, May 17 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D948463, Oct 24 2018 ASM IP Holding B.V. Susceptor for semiconductor substrate supporting apparatus
D949319, Aug 22 2019 ASM IP Holding B.V. Exhaust duct
D965044, Aug 19 2019 ASM IP Holding B.V.; ASM IP HOLDING B V Susceptor shaft
D965524, Aug 19 2019 ASM IP Holding B.V. Susceptor support
D966357, Dec 02 2020 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
D970566, Mar 23 2020 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
D975665, May 17 2019 ASM IP Holding B.V. Susceptor shaft
D979506, Aug 22 2019 ASM IP Holding B.V. Insulator
D980813, May 11 2021 ASM IP HOLDING B V Gas flow control plate for substrate processing apparatus
D980814, May 11 2021 ASM IP HOLDING B V Gas distributor for substrate processing apparatus
D981973, May 11 2021 ASM IP HOLDING B V Reactor wall for substrate processing apparatus
D984972, Mar 29 2021 BEIJING NAURA MICROELECTRONICS EQUIPMENT CO , LTD Electrostatic chuck for semiconductor manufacture
ER1441,
ER3967,
ER4489,
ER5833,
ER6015,
ER6261,
ER6328,
ER6877,
ER7573,
ER8750,
Patent Priority Assignee Title
5098501, Dec 08 1989 Sumitomo Electric Industries, Ltd. Pickup method and the pickup apparatus for chip-type part
5403401, Mar 04 1993 XYCARB B V A DUTCH CORPORATION Substrate carrier
6053982, Sep 01 1995 ASM America, Inc Wafer support system
6203622, Sep 01 1995 ASM America, Inc. Wafer support system
6893507, Nov 03 1997 ASM America, Inc. Self-centering wafer support system
7582166, Aug 01 2003 SGL Carbon AG Holder for supporting wafers during semiconductor manufacture
20030168174,
20050152089,
20050193952,
20070076345,
D552565, Sep 08 2005 TOKYO OHKA KOGYO CO , LTD Supporting plate
D587222, Aug 01 2006 Tokyo Electron Limited; SUMITOMO OSAKA CEMENT CO , LTD Attracting plate of an electrostatic chuck for semiconductor manufacturing
/////
Executed onAssignorAssigneeConveyanceFrameReelDoc
Jan 16 2009ASM Genitech Korea Ltd(assignment on the face of the patent)
Jan 16 2009LEE, JEONG HOASM Genitech Korea LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0222360244 pdf
Jan 16 2009JEONG, SANG JINASM Genitech Korea LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0222360244 pdf
Jan 16 2009JUNG, DONG RAKASM Genitech Korea LtdASSIGNMENT OF ASSIGNORS INTEREST SEE DOCUMENT FOR DETAILS 0222360244 pdf
Oct 10 2016ASM GENITECH KOREA, LTD ASM KOREA LTD CHANGE OF NAME SEE DOCUMENT FOR DETAILS 0486580249 pdf
n/a
Date Maintenance Fee Events


n/a
Date Maintenance Schedule